Tue Oct 4 01:00:03 2005 PST
Release | Meaning |
---|---|
2001a | Issue against 1364-2001 First Edition |
2001b | Issue against 1364-2001 Second Edition (Possibly also issue in First Edition) |
2001a, 2001b | Issue against both 1364-2001 First and Second Editions (i.e. errata partial fix in 2001b, but more changes required) |
ISSUE | State | Class | Release | Originator | Arrival-Date | Synopsis |
---|---|---|---|---|---|---|
1 | closed
|
doc-bug
|
unknown-1.0
|
Stefen Boyd
|
Jul 06 2001
|
just a test
|
2 | closed
|
superceded
|
2001b: 12.1.3.1
|
Paul Graham, Cadence
|
Jul 19 2001
|
Allow negative genvars
|
3 | lrmdraft
|
errata-discuss
|
2001b: 10.3.5
|
Shalom Bresticker, Motorola
|
Jul 21 2001
|
10.3.5: Inconsistent restrictions on system tasks in constant functions
|
4 | open
|
enhancement
|
2001b
|
Shalom Bresticker
|
Jul 24 2001
|
Allow assignment to an array
|
5 | lrmdraft
|
errata-simple
|
2001a, 2001b, 3.5
|
Paul Graham, Cadence
|
Jul 30 2001
|
Bad description of implicit nets created from continuous assignments
|
6 | lrmdraft
|
errata-discuss
|
2001a,b: 12.1.3
|
Shalom Bresticker, Motorola
|
Jul 30 2001
|
12.1.3 : Unclear parameter restriction in generated instantation
|
7 | closed
|
duplicate
|
2001b
|
Shalom Bresticker, Motorola
|
Jul 30 2001
|
12.1.3 - typo + unclear
|
8 | lrmdraft
|
errata-simple
|
2001a 2001b, 4.1.7
|
Paul Graham <pgraham@cadence.com>
|
Jul 31 2001
|
Incorrect zero extend rules for relational and equality operators
|
9 | lrmdraft
|
errata-discuss
|
2001b: 4.6
|
Paul Graham <pgraham@cadence.com>
|
Jul 31 2001
|
Please define truncation rules
|
10 | lrmdraft
|
errata-simple
|
2001a, 7.1.6
|
Anne Harris
|
Aug 05 2001
|
typo p.84
|
11 | lrmdraft
|
errata-simple
|
2001a 2001b, 12.1.3.4
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 06 2001
|
12.1.3.4 Example 8: typos and other problems
|
12 | lrmdraft
|
errata-discuss
|
2001b, 12.1 (Syntax 12-1), A.1.5, A.2.3, A.2.4, A.9.3
|
Stefen Boyd <stefen@boyd.com>
|
Aug 09 2001
|
hierarchical parameter identifier
|
13 | lrmdraft
|
errata-discuss
|
2001b: 12.2.2.1, 12.2.2.2
|
|
Aug 12 2001
|
12.2.2:example of named param passing before its description
|
14 | closed
|
duplicate
|
2001b
|
|
Aug 12 2001
|
12.2.2: bad documentation
|
15 | lrmdraft
|
errata-simple
|
2001a, 19.4
|
Sashi Obilisetty <sashi@dualsoft.com>
|
Aug 12 2001
|
Section 19.4: Conditional compilation directives description unclear
|
16 | lrmdraft
|
errata-discuss
|
2001b: 19.7
|
Shalom.Bresticker@motorola.com
|
Aug 12 2001
|
19.7: `line - meaning of level parameter is unclear
|
17 | lrmdraft
|
errata-discuss
|
2001b, 12.1.3, 12.2.1
|
Shalom.Bresticker@motorola.com
|
Aug 13 2001
|
12.1.3 is not clear enough about permitted defparam usage
|
18 | lrmdraft
|
errata-simple
|
2001a, 4.4.1
|
Stefen Boyd <stefen@boyd.com>
|
Aug 16 2001
|
Section 4.4.1, Table 29: Arithmetic shift operators missing
|
19 | lrmdraft
|
errata-simple
|
2001b, 4.1.14
|
"Anders Nordstrom" <andersn@nortelnetworks.com>
|
Aug 17 2001
|
Section 4.1.14: Incorrect concatenation operator description
|
20 | lrmdraft
|
errata-discuss
|
2001b: A.2.8, 2.8.2, 9.8.1-2, 10.2.1, 10.3.1
|
Dennis Marsa, Xilinx
|
Aug 19 2001
|
A.2.8 should prevent all variable declaration assignments in named blocks
|
21 | lrmdraft
|
errata-simple
|
2001b, A.9.3
|
Shalom Bresticker, Motorola
|
Aug 27 2001
|
memory_identifier is not used anywhere
|
22 | analyzed
|
errata-discuss
|
2001b: A.6.5
|
Shalom Bresticker, Motorola
|
Aug 27 2001
|
@* should have a space ?
|
23 | lrmdraft
|
errata-simple
|
2001b, 15.1, 15.2.2, A.7.5.1
|
Shalom Bresticker, Motorola
|
Aug 27 2001
|
$hold _timing_check should have no space
|
24 | lrmdraft
|
errata-simple
|
2001a, A.2.4, 3.11.3, 14.6.1
|
Shalom Bresticker, Motorola
|
Aug 28 2001
|
In PATHPULSE$ syntax, 2nd $ should be Bold
|
25 | lrmdraft
|
errata-simple
|
2001b, A.4.1, 2,8.2, 12.1.2
|
Shalom Bresticker, Motorola
|
Aug 28 2001
|
named_port_connection BNF missing space after .
|
26 | lrmdraft
|
errata-simple
|
2001a, A.7.4, 14.3
|
Shalom Bresticker, Motorola
|
Aug 28 2001
|
list_of_path_delay_expressions syntax missing comma
|
27 | lrmdraft
|
errata-simple
|
2001b, 2.7.4
|
Daryl Stewart
|
Sep 03 2001
|
Incorrect cross-reference (Section 2.7.4)
|
28 | lrmdraft
|
errata-simple
|
2001b, A.8.2, A.9.3
|
Shalom Bresticker, Motorola
|
Sep 04 2001
|
A.8.2: genvar_function_call is not used
|
29 | lrmdraft
|
errata-simple
|
2001a, A.8.7, 2.5
|
Shalom Bresticker, Motorola
|
Sep 04 2001
|
A.8.7: [] around size should not be bold
|
30 | lrmdraft
|
errata-simple
|
2001b, Syntax 15-2 & 15-15, A.7.5.3
|
Daryl Stewart
|
Sep 04 2001
|
Incorrect syntax: edge_control_specifier
|
31 | lrmdraft
|
errata-simple
|
2001a, A.9.4, 12.4
|
Shalom Bresticker
|
Sep 06 2001
|
A.9.4: inner brackets should be bold
|
32 | lrmdraft
|
errata-simple
|
2001b, A.Note.2, 12.4
|
Shalom Bresticker
|
Sep 06 2001
|
A.Note.2: "arrayed_reference" is not used anywhere
|
33 | lrmdraft
|
errata-discuss
|
2001b, 17.2
|
Daryl Stewart
|
Sep 06 2001
|
17.2: Use of keyword "integer"
|
34 | lrmdraft
|
errata-simple
|
2001b: 17.2.3
|
Daryl Stewart
|
Sep 06 2001
|
17.2.3: "Formatting data to a string"
|
35 | closed
|
duplicate
|
2001b, 4.5
|
"Tom Fitzpatrick" <tfitz18@earthlink.net>
|
Sep 07 2001
|
Errata/clarification: Use of word "type" in section 4.5
|
36 | lrmdraft
|
errata-simple
|
2001a 2001b, 9.7.3
|
Daryl Stewart
|
Sep 24 2001
|
Section 9.7.3: unfinished sentence
|
37 | open
|
errata-discuss
|
2001b
|
Daryl Stewart
|
Sep 28 2001
|
Possible syntax restrictions on periods
|
38 | closed
|
enhancement
|
2001b
|
John Williams
|
Oct 03 2001
|
Add examples of trireg charge strength declarations to 3.4.1
|
39 | lrmdraft
|
errata-simple
|
2001a: 12.3.3
|
Shalom.Bresticker@motorola.com
|
Oct 07 2001
|
12.3.3 describes wrong tied connections
|
40 | lrmdraft
|
errata-simple
|
2001b, 4.4.3
|
Shalom.Bresticker@motorola.com
|
Oct 07 2001
|
Misleading comment in example in 4.4.3
|
41 | lrmdraft
|
errata-simple
|
2001b: 17.2.3
|
Charles Dawson <chas@cadence.com>
|
Oct 07 2001
|
17.2.3: Is $sformat a task or a function ?
|
42 | lrmdraft
|
errata-simple
|
2001b: 17.2.3
|
Shalom.Bresticker@motorola.com
|
Oct 07 2001
|
17.2.3: typos
|
43 | lrmdraft
|
errata-simple
|
2001b, Syntax 3-5, 13-2
|
"J. Bhasker" <jbhasker@cadence.com>
|
Oct 07 2001
|
Typos in syntax boxes 3-5 and 13-2
|
44 | lrmdraft
|
errata-simple
|
2001b, 4.4.3
|
tiao@agere.com
|
Oct 08 2001
|
Misleading comment: Section 4, example 4.4.3 -- value can't be verified
|
45 | lrmdraft
|
errata-simple
|
2001b, 4.5
|
Jenjen Tiao @ Agere Systems, Inc.
|
Oct 08 2001
|
Example in Section 4.5: Incorrect comment
|
46 | closed
|
duplicate
|
2001b, 4.5
|
Jenjen Tiao @ Agere Systems, Inc.
|
Oct 08 2001
|
Example in Section 4.5: example may be improved...
|
47 | lrmdraft
|
errata-discuss
|
2001b: 3.7.4, Tables 6 & 7
|
Shalom.Bresticker@motorola.com
|
Oct 11 2001
|
3.7.4: Wrong description of tri0/1 in 3.7.4
|
48 | open
|
errata-discuss
|
2001b: 6.1.3
|
|
Oct 14 2001
|
6.1.3: wire delays and continuous assigns
|
49 | lrmdraft
|
errata-simple
|
2001b, 4.4.3
|
Shalom.Bresticker@motorola.com
|
Oct 14 2001
|
Section 4.4.3: incorrect output in example
|
50 | lrmdraft
|
errata-simple
|
2001b, 19.5, 19.7
|
Shalom.Bresticker@motorola.com
|
Oct 14 2001
|
Section 19.5: descriptions of `include
|
51 | lrmdraft
|
errata-simple
|
2001b, 12.2
|
Shalom.Bresticker@motorola.com
|
Oct 15 2001
|
12.2 - typos
|
52 | closed
|
duplicate
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 16 2001
|
: 5.6.6 Port connections
|
53 | lrmdraft
|
errata-discuss
|
2001b, Syntaxes 9-1, 9-2, 9-3, A.8.1, A.8.5
|
Shalom.Bresticker@motorola.com
|
Oct 16 2001
|
net_lvalue BNF allows {x[i]}
|
54 | open
|
errata-discuss
|
2001b, 5.6.6, 12.3.9.2, 12.3.8
|
Shalom.Bresticker@motorola.com
|
Oct 16 2001
|
5.6.6 Port connections - inaccurate description
|
55 | open
|
enhancement-dtype
|
2001b
|
Shalom.Bresticker@motorola.com
|
Oct 17 2001
|
allow arrays and reals as ports
|
56 | lrmdraft
|
errata-simple
|
2001b: 12.3.11, 12.4
|
Shalom.Bresticker@motorola.com
|
Oct 21 2001
|
Keywords should be bold
|
57 | open
|
errata-discuss
|
2001b: 5
|
Shalom.Bresticker@motorola.com
|
Oct 24 2001
|
5: scheduling
|
58 | open
|
enhancement
|
2001b
|
Shalom Bresticker
|
Nov 20 2001
|
allow force on memory word or bit-/part-select of vector variable
|
59 | lrmdraft
|
errata-simple
|
2001b: 17.9.3
|
|
Nov 21 2001
|
chi_square function is wrong
|
60 | lrmdraft
|
errata-simple
|
2001b: 17.9.3
|
Shalom.Bresticker@motorola.com
|
Nov 21 2001
|
17.9.3: "1364-2000" should be "1364-2001" in code header
|
61 | proposal
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Dec 02 2001
|
add enumerated data type
|
62 | proposal
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Dec 02 2001
|
add record/structure data type
|
63 | closed
|
duplicate
|
2001b
|
Daryl Stewart
|
Dec 03 2001
|
Grammar ambiguity in delay_or_event_control
|
64 | lrmdraft
|
errata-simple
|
2001b: 9.3 (Syntax 9-3), A.6.2, A.6.3
|
Shalom.Bresticker@motorola.com
|
Dec 03 2001
|
A.6.2-3: variable_assignment BNF production is in wrong place
|
65 | lrmdraft
|
errata-simple
|
2001b: A.2.7, 10.2.1 (Syntax 10-1)
|
Paul Graham <pgraham@cadence.com>
|
Dec 16 2001
|
error in task_declaration syntax
|
66 | closed
|
doc-bug
|
2001b
|
"Vanessa Lintner" <reply@seekercenter.net>
|
Dec 16 2001
|
I have visited BOYDTECHINC.COM and noticed that ...
|
67 | lrmdraft
|
errata-discuss
|
2001b: A.6.5, Syntax 9-10
|
Dennis Marsa
|
Jan 10 2002
|
A.6.5: "event_trigger" production in BNF does not allow array indexing
|
68 | analyzed
|
errata-ptf
|
2001b: 26.6.42
|
Dennis Marsa
|
Jan 31 2002
|
Missing objects from VPI attribute object diagram
|
69 | open
|
errata-ptf
|
2001b: 26.6.42
|
Dennis Marsa
|
Jan 31 2002
|
vpiDefAttribute property not completely documented
|
70 | lrmdraft
|
errata-simple
|
2001b: 4.4.1 (Table 29)
|
Dennis Marsa
|
Jun 28 2002
|
Table 29: Logical operator (&& ||) sizing rules incorrect
|
71 | lrmdraft
|
errata-ptf
|
2001b: 26.6.26
|
Dennis Marsa (drm@xilinx.com)
|
Jul 07 2002
|
26.6.26: Indexed part-selects (+: and -:) do not have
|
72 | open
|
errata-discuss
|
2001b, 18.4.3.2
|
chas@cadence.com
|
Jul 15 2002
|
$dumpports as specified in useless
|
73 | lrmdraft
|
errata-discuss
|
2001b: 4.1.14
|
sharp@cadence.com
|
Jul 16 2002
|
4.1.14 implies replication legal lvalue
|
74 | closed
|
duplicate
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jul 17 2002
|
: 4.1.14: unclear examples of illegal concatenations
|
75 | lrmdraft
|
errata-discuss
|
2001b: 6 (Table 30), 9.2
|
"Krzysztof Kozminski" <Krzysztof.A.Kozminski@nsc.com>
|
Jul 18 2002
|
Table 30 omits nested concatenations
|
76 | lrmdraft
|
errata-simple
|
2001b: 4.1.14
|
Shalom.Bresticker@motorola.com
|
Jul 22 2002
|
4.1.14: unclear examples of illegal concatenations
|
77 | lrmdraft
|
superceded
|
2001b: 6 (Table 30)
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jul 24 2002
|
Table 30: Last LHS line is not clear
|
78 | lrmdraft
|
errata-simple
|
2001b: passim
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jul 24 2002
|
passim: Inconsistent spelling of "bit-select" and "part-select"
|
79 | lrmdraft
|
errata-simple
|
2001b, 3.5
|
sharp@cadence.com
|
Jul 25 2002
|
Section 3.5 Implicit declarations wrong or misleading
|
80 | open
|
errata-simple
|
2001b, 17.2.7
|
Daryl.Stewart@cl.cam.ac.uk
|
Jul 29 2002
|
17.2.7 omits description of use of fd
|
81 | open
|
errata-simple
|
2001b, 4.1.9, 4.5.1
|
Paul Graham (pgraham@cadence.com)
|
Jul 29 2002
|
4.1.9, 4.5.1 are not clear how && and || work
|
82 | open
|
errata-discuss
|
2001b: 9.7.5
|
Dennis Marsa
|
Jul 30 2002
|
9.7.5: Description of @*, @(*) incomplete
|
83 | open
|
errata-discuss
|
2001b: 4.5
|
Dennis Marsa
|
Jul 30 2002
|
4.5: Expression type/evaluation issues with real operands
|
84 | open
|
errata-discuss
|
2001b: 9.7.5
|
sharp@cadence.com
|
Jul 30 2002
|
9.7.5: Should @* include delay controls?
|
85 | lrmdraft
|
errata-discuss
|
2001b: 9.7 (Syntax 9-8), A.6.5
|
sharp@cadence.com
|
Jul 30 2002
|
A.6.5: repeat event_control grammar ambiguity
|
86 | closed
|
mistaken
|
2001b
|
Bamel Sloyugh
|
Jul 31 2002
|
Polyhyphoid marketing schematics
|
87 | lrmdraft
|
errata-simple
|
2001b: 17.2.8
|
Tom Fitzpatrick <tfitz@cadence.com>
|
Jul 31 2002
|
17.2.8: $readmem default start_addr should be lowest address
|
88 | open
|
errata-discuss
|
2001b, 9.7.2, 9.7.4
|
Steven Sharp <sharp@cadence.com>
|
Jul 31 2002
|
9.7.2 should say that event_controls can be expressions
|
89 | lrmdraft
|
errata-simple
|
2001b: 2.8.1
|
"Matt Maidment" <mmaidmen@ichips.intel.com>
|
Aug 01 2002
|
2.8.1: Multiple Attribute Instances in BNF
|
90 | open
|
errata-discuss
|
2001b, 19
|
Dennis Marsa <drm@xilinx.com>
|
Aug 05 2002
|
19:unclear which compiler directives must be alone on line
|
91 | lrmdraft
|
errata-ptf
|
2001b: 26.6.5
|
Charles Dawson
|
Aug 07 2002
|
Note 7 in section 26.6.5 is misleading
|
92 | lrmdraft
|
errata-simple
|
2001b: 17.10.2
|
Charles Dawson
|
Aug 12 2002
|
17.10.2 should talk about leading plus sign
|
93 | lrmdraft
|
errata-discuss
|
2001b: 17.10.2
|
Charles Dawson
|
Aug 12 2002
|
17.10.2: errors in the example
|
94 | lrmdraft
|
errata-simple
|
2001b: 19.2 (Syntax 19-1)
|
sharp@cadence.com
|
Aug 12 2002
|
tri1 missing from `default_nettype in Syntax 19-1
|
95 | lrmdraft
|
errata-ptf
|
2001b: 21.1.4
|
Charles Dawson <chas@cadence.com>
|
Aug 13 2002
|
21.1.4 should also list reason_finish
|
96 | closed
|
superceded
|
2001b: 4.1.12
|
Karen Pieper <Karen.Pieper@synopsys.com>
|
Aug 13 2002
|
4.1.12: ambiguity in the signedness of the >>> operator
|
97 | lrmdraft
|
errata-simple
|
2001b: 12.1
|
Shalom.Bresticker@motorola.com
|
Aug 14 2002
|
12.1: simple typo
|
98 | open
|
errata-simple
|
2001b: 17.10.2
|
Charles Dawson
|
Aug 14 2002
|
17.10.2: leading zero in format makes no sense
|
99 | open
|
errata-discuss
|
2001b: Annex B
|
sharp@cadence.com
|
Aug 17 2002
|
Annex B: include, incdir, library listed as reserved
|
100 | lrmdraft
|
errata-discuss
|
2001b: 10.2.3
|
sharp@cadence.com
|
Aug 17 2002
|
10.2.3: output arguments of automatic tasks not initialized
|
101 | lrmdraft
|
errata-discuss
|
2001b: 17.10.1, 17.10.2
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 21 2002
|
17.10.1: $test$plusargs argument
|
102 | lrmdraft
|
errata-discuss
|
2001b: TOC
|
Shalom.Bresticker@motorola.com
|
Aug 22 2002
|
TOC goes only 1 level down
|
103 | lrmdraft
|
errata-discuss
|
2001b: Index
|
Shalom.Bresticker@motorola.com
|
Aug 22 2002
|
Index missing
|
104 | lrmdraft
|
errata-simple
|
2001b: 3.2.2
|
Shalom.Bresticker@motorola.com
|
Aug 22 2002
|
3.2.2: typo
|
105 | open
|
errata-discuss
|
2001b: 10.3
|
sharp@cadence.com
|
Aug 22 2002
|
10.3: return value of automatic function not initialized
|
106 | lrmdraft
|
errata-discuss
|
2001c: 4.1.7, 4.1.8
|
Paul Graham <pgraham@cadence.com>
|
Aug 26 2002
|
4.1.8: Incorrect zero-extend rules for equality operators
|
107 | open
|
errata-discuss
|
2001b Section 13.2.1.1
|
sharp@cadence.com
|
Aug 26 2002
|
Config and module of same name in same library?
|
108 | open
|
errata-simple
|
2001b Section 13.3.1.2
|
sharp@cadence.com
|
Aug 26 2002
|
Only one default clause in config?
|
109 | lrmdraft
|
errata-simple
|
2001b: 10.3.5
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 27 2002
|
10.3.5: redundant restriction on system functions
|
110 | open
|
errata-simple
|
2001b: 18.4.3.1
|
hgupta@cadence.com
|
Sep 09 2002
|
18.4.3.1: $dumpports for supply nets
|
111 | open
|
errata-discuss
|
2001b: 17.2.4.4
|
Charles Dawson
|
Sep 09 2002
|
17.2.4.4: How should $fread deal with partial data to a memory?
|
112 | closed
|
duplicate
|
2001b
|
Dennis Marsa
|
Sep 09 2002
|
Section A.9.3: "arrayed_identifier" BNF rule
|
113 | lrmdraft
|
errata-discuss
|
2001b: 12.1.3
|
Gordon Vreugdenhil <gvreugde@synopsys.com>
|
Sep 10 2002
|
interaction of generate and scope definitions
|
114 | lrmdraft
|
errata-discuss
|
2001b: 2.8.2, 3.11.1, 9.8.1-2, 10.2-3, 12.1, A.1.5, A.2.1.1, A.2.8
|
Karen Pieper <Karen.Pieper@synopsys.com>
|
Sep 11 2002
|
A.1.5, A.2.1.1, A.2.8: port style parameter declarations
|
115 | lrmdraft
|
errata-simple
|
2001b: 9.8
|
Shalom.Bresticker@motorola.com
|
Sep 13 2002
|
9.8: "block statement" definition
|
116 | lrmdraft
|
errata-discuss
|
2001b: 2.5.1, 2.7.5, 19, 25.52-53, 25.55-56
|
Shalom.Bresticker@motorola.com
|
Sep 14 2002
|
19, para. 1: Grave accent, apostrophe character
|
117 | lrmdraft
|
errata-discuss
|
2001b: 19.1, 19.6, 19.9
|
Shalom.Bresticker@motorola.com
|
Sep 16 2002
|
19.1,19.6,19.9: `unconnected_drive and `celldefine
|
118 | lrmdraft
|
errata-simple
|
2001b: 12.3.4
|
Shalom.Bresticker@motorola.com
|
Sep 16 2002
|
12.3.4: Bad syntax in example
|
119 | lrmdraft
|
errata-discuss
|
2001b: 2.6.3 (Table 1), 17.1.1.1 (Table 66)
|
Shalom.Bresticker@motorola.com
|
Sep 16 2002
|
2.6.3 (Table 1): Octal escape sequences
|
120 | proposal
|
errata-ptf
|
2001b: 26.6.20
|
sharp@cadence.com
|
Sep 16 2002
|
vpiAutomatic property problems
|
121 | lrmdraft
|
errata-simple
|
2001b: 12.4 (Syntax 12-7)
|
Shalom.Bresticker@motorola.com
|
Sep 16 2002
|
Syntax 12-7
|
122 | lrmdraft
|
errata-simple
|
2001b: 19.4
|
Shalom.Bresticker@motorola.com
|
Sep 22 2002
|
: 19.4, example 2, last two $display lines
|
123 | lrmdraft
|
errata-discuss
|
2001b: A.8.4, A.8.5, 4.3 (Syntax 4-2), Syntaxes 9-1, 9-2, 9-3
|
Karen Pieper <Karen.Pieper@synopsys.com>
|
Sep 23 2002
|
A.8.4, A.8.5: identifier and indexing syntax
|
124 | lrmdraft
|
errata-simple
|
2001b: 17.2.4.3
|
Charles Dawson
|
Sep 25 2002
|
17.2.4.3: Second sentence for %m should be in own paragraph
|
125 | lrmdraft
|
errata-discuss
|
2001b, 3.5
|
Shalom.Bresticker@motorola.com
|
Sep 25 2002
|
3.5 Implicit Declarations (cont.)
|
126 | lrmdraft
|
errata-simple
|
2001a
|
Shalom.Bresticker@motorola.com
|
Sep 26 2002
|
"nonblocking" spelling
|
127 | lrmdraft
|
errata-discuss
|
2001a,b: 9.7.5
|
Shalom.Bresticker@motorola.com
|
Sep 26 2002
|
9.7.5: 4th paragraph - pp.140-141
|
128 | lrmdraft
|
errata-simple
|
2001a,b: 10.3.5
|
Shalom.Bresticker@motorola.com
|
Sep 26 2002
|
10.3.5 Example: ram_model
|
129 | lrmdraft
|
errata-simple
|
2001a,b: passim
|
Shalom.Bresticker@motorola.com
|
Sep 26 2002
|
unordered list dashes (em dash) did not print in 2001a
|
130 | closed
|
errata-simple
|
2001a: 17.10.2
|
Shalom.Bresticker@motorola.com
|
Sep 26 2002
|
17.10.2: Examples - reg declaration syntax error
|
131 | lrmdraft
|
errata-simple
|
2001a: intro
|
Shalom.Bresticker@motorola.com
|
Sep 26 2002
|
Page iii - 2nd paragraph after "INTRODUCTION"
|
132 | lrmdraft
|
errata-discuss
|
2001b: passim
|
Shalom.Bresticker@motorola.com
|
Sep 26 2002
|
Change Table and Figure numbering from consecutive to X-Y
|
133 | lrmdraft
|
errata-discuss
|
2001b: 4.1.2
|
Shalom.Bresticker@motorola.com
|
Sep 26 2002
|
Table 12: Precedence rules for operators
|
134 | closed
|
mistaken
|
|
|
Sep 27 2002
|
/8: Incorrect zero extend rules for relational and equality
|
135 | open
|
errata-simple
|
2001b, 13.1.1
|
Shalom Bresticker
|
Sep 29 2002
|
13.1.1: library_cell is defined but not used
|
136 | lrmdraft
|
errata-simple
|
2001b: 12.4 (Syntax 12-7), 13.2.1 (Syntax 13-2), 13.3.1.5 (Syntax 13-8), A.1.1, A.1.2, A.9.4
|
Shalom Bresticker
|
Sep 30 2002
|
Annex A et al: BNF: redundant [] around {}
|
137 | lrmdraft
|
mistaken
|
2001b
|
Karen Pieper <Karen.Pieper@synopsys.com>
|
Oct 01 2002
|
Fwd: IEEE Std 1364-2001, 19.2 and Annex B -- 'none' keyword
|
138 | lrmdraft
|
superceded
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 01 2002
|
IEEE Std 1364-2001, Syntax 19-2 -- formal_argument_identifier
|
139 | lrmdraft
|
errata-discuss
|
2001b, 19.3.1
|
Shalom.Bresticker@motorola.com
|
Oct 02 2002
|
19.3.1 miscellaneous small errata
|
140 | lrmdraft
|
errata-discuss
|
2001b: 4.1.5
|
Dennis Marsa
|
Oct 02 2002
|
Section 4.1.5: Definition of power operator result type
|
141 | lrmdraft
|
mistaken
|
2001b: 19.7
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 02 2002
|
19.7: `line number
|
142 | lrmdraft
|
errata-simple
|
2001b: 2.5.1
|
Shalom.Bresticker@motorola.com
|
Oct 02 2002
|
2.5.1: bad cross-reference to Table 8-1
|
143 | lrmdraft
|
errata-simple
|
2001b: passim
|
Shalom.Bresticker@motorola.com
|
Oct 03 2002
|
cross-references to "Section" instead of "Clause"
|
144 | lrmdraft
|
errata-simple
|
2001b, 3.5
|
Shalom.Bresticker@motorola.com
|
Oct 03 2002
|
3.5 IEEE did not fix errata/5 correctly
|
145 | lrmdraft
|
errata-simple
|
2001b: 19.2 (Syntax 19-1)
|
Shalom.Bresticker@motorola.com
|
Oct 03 2002
|
19.2: Syntax 19-1 defines net_type differently than A.2.2.1
|
146 | lrmdraft
|
errata-simple
|
2001b: 3.9
|
Shalom.Bresticker@motorola.com
|
Oct 03 2002
|
3.9: poor cross-reference to $time section
|
147 | lrmdraft
|
errata-simple
|
2001b: 9.5
|
"Brian D. McMinn"
|
Oct 03 2002
|
9.5: case -- what happens after first match and execution
|
148 | lrmdraft
|
errata-discuss
|
2001b: Annex B
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 04 2002
|
Annex B: 'unsigned' is not really a keyword
|
149 | lrmdraft
|
errata-simple
|
2001b: 2.7.4
|
Shalom.Bresticker@motorola.com
|
Oct 05 2002
|
2.7.4: System tasks and functions
|
150 | lrmdraft
|
errata-discuss
|
2001b: 3.11.2
|
Shalom.Bresticker@motorola.com
|
Oct 06 2002
|
3.11.2: Local parameters - wording issues
|
151 | lrmdraft
|
errata-simple
|
2001b: 1.3f
|
Shalom.Bresticker@motorola.com
|
Oct 07 2002
|
1.3f uses bad example
|
152 | lrmdraft
|
errata-simple
|
2001b: 12.2.1, 12.2.2.2
|
Shalom.Bresticker@motorola.com
|
Oct 07 2002
|
12.2.1, 12.2.2.2: "it's" should be "its"
|
153 | lrmdraft
|
errata-simple
|
2001b: 12.3.3 (Syntax 12-6), A.2.1.2
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 08 2002
|
12.3.3, A.2.1.2: output_declaration is ambiguous
|
154 | lrmdraft
|
errata-simple
|
2001b: 2.8.2 (Syntax 2-6), 12.1 (Syntax 12-1), 12.1.3 (Syntax 12-3), A.1.5
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 08 2002
|
A.1.5: attribute ambiguity in non_port_module_items
|
155 | lrmdraft
|
errata-simple
|
2001b: 2.8.2 (Syntax 2-4), 12.1 (Syntax 12-1), A.1.3
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 09 2002
|
A.1.3, module_declaration ambiguous
|
156 | lrmdraft
|
errata-simple
|
2001b: 10.3.1, A.2.6
|
"Jason Campbell" <jcampbell@provis.com>
|
Oct 10 2002
|
10.3.1,A.2.6:In function, block_item_declaration is optional
|
157 | closed
|
mistaken
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 10 2002
|
12.3.1, A.1.4, port -- port_expression optional?
|
158 | lrmdraft
|
errata-ptf
|
2001b: 27.32
|
Charles Dawson
|
Oct 11 2002
|
Section 27.32 vpi_put_value() w/vpiReleaseFlag; Whose data?
|
159 | lrmdraft
|
errata-simple
|
2001b, many
|
Shalom.Bresticker@motorola.com
|
Oct 12 2002
|
footnote numbering in syntax boxes
|
160 | lrmdraft
|
errata-simple
|
2001a,b
|
Shalom.Bresticker@motorola.com
|
Oct 14 2002
|
PDF bookmarks
|
161 | lrmdraft
|
errata-simple
|
2001a,b: passim
|
Shalom.Bresticker@motorola.com
|
Oct 14 2002
|
hyperlink cross-references
|
162 | closed
|
mistaken
|
2001b
|
"Jayaram Bhasker" <JBhasker@eSilicon.com>
|
Oct 14 2002
|
Blame it on IEEE!
|
163 | lrmdraft
|
errata-discuss
|
2001a,b: passim
|
Shalom.Bresticker@motorola.com
|
Oct 14 2002
|
document formatting problems
|
164 | closed
|
mistaken
|
2001b
|
"Paul J. Menchini" <mench@mench.com>
|
Oct 15 2002
|
Re: Blame it on IEEE!
|
165 | lrmdraft
|
errata-simple
|
2001b: 3.11.1, A.2.1.1, 10.2.1, A.2.7
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 15 2002
|
3.11.1, A.2.1.1: reuse task_port_type
|
166 | lrmdraft
|
errata-simple
|
2001b: 1.4
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 20 2002
|
1.4: "Clause 2" missing clause title
|
167 | lrmdraft
|
errata-ptf
|
2001b: 25.13, 25.31
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 20 2002
|
2001b, 25.13, 25.31: tf_error and tf_message outside checktf
|
168 | lrmdraft
|
errata-simple
|
2001b: 12.3.2
|
sharp@cadence.com
|
Oct 23 2002
|
12.3.2: Bad grammar
|
169 | lrmdraft
|
errata-discuss
|
2001b: passim
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 24 2002
|
passim: more em dashes don't print in 2001b
|
170 | analyzed
|
errata-discuss
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 24 2002
|
formatting of bnf non-terminals
|
171 | lrmdraft
|
errata-simple
|
2001b: 19.4
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 24 2002
|
19.4 `ifdef - followup to #15
|
172 | open
|
errata-discuss
|
2001b: 3.5
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 24 2002
|
3.5 Implicit Declarations - moved from #125B
|
173 | lrmdraft
|
errata-simple
|
2001b: 1.4, 2.5.1, 3.1, 7.10.1, 7.10.2, passim
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 24 2002
|
misc typos
|
174 | lrmdraft
|
errata-simple
|
2001b: 3.2.1 (Syntax 3-1), A.2.2.3
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 24 2002
|
3.2.1, A.2.2.3 -- delay_value ambiguous
|
175 | open
|
errata-discuss
|
2001b: 13.2
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 27 2002
|
13.2: file_path_spec syntax and related issues
|
176 | lrmdraft
|
errata-simple
|
2001b, 12.1.3.2
|
Shalom.Bresticker@motorola.com
|
Oct 28 2002
|
12.1.3.2, Example 5: wrong generate name
|
177 | lrmdraft
|
errata-discuss
|
2001b: 12.1.3.4 (Example 8)
|
Shalom.Bresticker@motorola.com
|
Oct 28 2002
|
12.1.3.4, Example 8 (again): ba instead of ba[0]
|
178 | closed
|
mistaken
|
2001b, 9.4 (Syntax 9-4), 9.4.1 (Syntax 9-5), A.6.6
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 28 2002
|
9.4.1, A.6.6 -- if_else_if_statement and function_if_else_statement are redundant
|
179 | lrmdraft
|
errata-simple
|
2001b, 12.3.3
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 29 2002
|
12.3.3 Port declarations
|
180 | lrmdraft
|
errata-simple
|
2001b: 14.3.2
|
Shalom.Bresticker@motorola.com
|
Oct 29 2002
|
14.3.2: "s ' x" should be "s -> x"
|
181 | lrmdraft
|
superceded
|
2001b: 4.3 (Syntax 4-2), 9.2.1 (Syntax 9-1), 9.2.2 (Syntax 9-2), 9.2.3 (Syntax 9-3), A.8.4, A.8.5
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 30 2002
|
4.3, 9.2.1-3, A.8.4-5: 12 productions better expressed with 3
|
182 | lrmdraft
|
errata-simple
|
2001b, 9.7 (Syntax 9-8), 9.7.7 (Syntax 9-12), A.6.5, Syntaxes 9-1, 9-2
|
Shalom.Bresticker@motorola.com
|
Oct 31 2002
|
Syntax 9-8: event_control
|
183 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Nov 05 2002
|
allow reverse part-select [lsb:msb]
|
184 | lrmdraft
|
errata-ptf
|
2001b: 23.4
|
Shalom.Bresticker@motorola.com
|
Nov 08 2002
|
23.4: "the an" should be "the"
|
185 | lrmdraft
|
errata-ptf
|
2001b: 23.21, 23.22, 23.34, 23.36, 23.48
|
Shalom Bresticker
|
Nov 10 2002
|
23.21-48: Bad Table and Figure cross-references
|
186 | lrmdraft
|
errata-ptf
|
2001b: 23.26, 23.33, 25.26, 25.27
|
Shalom Bresticker
|
Nov 10 2002
|
23.26, 23.33, 25.26-27: "s" should be "us"
|
187 | lrmdraft
|
errata-ptf
|
2001b: 23.27 (Figure 89)
|
Shalom Bresticker
|
Nov 10 2002
|
23.27, Fig. 89: missing comma at end of code line
|
188 | lrmdraft
|
errata-ptf
|
2001b: 23.28 (Figure 90)
|
Shalom Bresticker
|
Nov 10 2002
|
23.28, Fig. 90: extra colon at end of code line?
|
189 | open
|
enhancement
|
2001b: 12.1
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Nov 11 2002
|
12.1, macromodule needs clarification
|
190 | closed
|
errata-discuss
|
2001b: 9.5.1
|
Anders Nordstrom
|
Nov 12 2002
|
9.5.1: Extra zero in Example 1
|
191 | open
|
enhancement
|
2001b: 3.11.2, 12.1, A.1.4
|
Shalom Bresticker
|
Nov 14 2002
|
Add localparam to ANSI-type param list
|
192 | lrmdraft
|
errata-discuss
|
2001b: 3.3.1, 3.10, 4.2.1, 4.2.2
|
Shalom Bresticker
|
Nov 17 2002
|
3.3.1: are real values for ranges allowed?
|
193 | lrmdraft
|
errata-simple
|
2001b: 3.2.1 (Syntax 3-1), 9.7.3 (Syntax 9-9), A.2.3
|
Dan.Jacobi@intel.com
|
Nov 18 2002
|
3.2.1, 9.7.3, A.2.3: simplification of list BNFs
|
194 | lrmdraft
|
errata-simple
|
2001b: 2.8 (Syntax 2-3), A.9.1
|
Brad.Pierce@synopsys.com
|
Nov 18 2002
|
2.8, A.9.1: simplify attr_spec BNF
|
195 | lrmdraft
|
errata-simple
|
2001b: 3.11.3
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Nov 18 2002
|
3.11.3: last example has syntax errors
|
196 | lrmdraft
|
errata-simple
|
2001b: 8.6 (Syntax 8-2)
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Nov 18 2002
|
8.6 -- udp_instantiation BNF different in 8.6 than in Annex A
|
197 | lrmdraft
|
errata-discuss
|
2001b: 17.2.4.3
|
Gordon Vreugdenhil <gvreugde@synopsys.com>
|
Nov 18 2002
|
17.2.4.3: sscanf/"string" incompatibility
|
198 | open
|
errata-discuss
|
2001b: 12.3.9.2
|
Shalom.Bresticker@motorola.com
|
Nov 19 2002
|
12.3.9.2: sinks should allow only constant part-selects
|
199 | closed
|
mistaken
|
2001b
|
Shalom.Bresticker@motorola.com
|
Nov 19 2002
|
A.8.7 et al: bnf simplifications
|
200 | lrmdraft
|
errata-discuss
|
2001b: A.9.3
|
Shalom.Bresticker@motorola.com
|
Nov 19 2002
|
A.3.1, A.4.1, A.5.4, A.9.3 et al: extra [range]
|
201 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Nov 19 2002
|
module instance without parentheses
|
202 | closed
|
errata-simple
|
2001b: 12.1.2(Syntax 12-2), A.4.1
|
Shalom.Bresticker@motorola.com
|
Nov 19 2002
|
12.1.2, A.4.1: redundant [] in module_instance bnf
|
203 | open
|
errata-discuss
|
2001b: A.1.4
|
Dennis Marsa <drm@xilinx.com>
|
Nov 19 2002
|
A.1.4: list_of_port_declarations BNF rule
|
204 | open
|
errata-discuss
|
2001b: 12.3.2
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Nov 20 2002
|
12.3.2, port definition names
|
205 | lrmdraft
|
errata-discuss
|
2001b: 2.8, 3.12
|
Michael McNamara <mac@verisity.com>
|
Nov 21 2002
|
2.8, 3.12: Attribute names can/cannot be redefined
|
206 | lrmdraft
|
errata-discuss
|
2001b: 9.2.1, 9.2.2, 9.3.1, 9.7.3, 9.7.5
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Nov 24 2002
|
9.2, 9.3, 9.7: editorial bugs
|
207 | lrmdraft
|
errata-simple
|
2001b: 17.9.3, 26.6.9, E, F, G
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Nov 25 2002
|
"1364-2000" should be "1364-2001"
|
208 | lrmdraft
|
errata-discuss
|
2001b: 12.4 (Syntax 12-7), A.9.4
|
Shalom.Bresticker@motorola.com
|
Nov 26 2002
|
12.4, A.9.4: no negative indexes in hierarchical_identifiers
|
209 | lrmdraft
|
errata-discuss
|
2001b: 12.4 (Syntax 12-7), A.9.3, A.9.4
|
Shalom.Bresticker@motorola.com
|
Nov 26 2002
|
12.4, A.9.3: simple, escaped hierarchical_identifiers difference
|
210 | closed
|
errata-discuss
|
2001b: A.4.1, A.5.4
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Dec 04 2002
|
A.4.1, A.5.4: Why no anonymous module instances?
|
211 | lrmdraft
|
errata-discuss
|
2001b: 3.11.2, 12.2.2.1, 12.2.3
|
sharp@cadence.com
|
Dec 05 2002
|
3.11.2: localparam behavior not clear
|
212 | open
|
errata-discuss
|
2001b: 13.2
|
sharp@cadence.com
|
Dec 07 2002
|
Section 13.2 does not describe -incdir
|
213 | closed
|
superceded
|
2001b: 23.46, 23.90
|
Shalom.Bresticker@motorola.com
|
Dec 09 2002
|
23.46, 23.90: funny characters in file format diagrams
|
214 | lrmdraft
|
errata-ptf
|
2001b: 23.90
|
Shalom.Bresticker@motorola.com
|
Dec 09 2002
|
23.90: wrong comment in Figure 151?
|
215 | open
|
errata-discuss
|
2001b: 2.5.1 et al
|
Shalom.Bresticker@motorola.com
|
Dec 11 2002
|
need to clarify signedness
|
216 | lrmdraft
|
errata-simple
|
2001b: 9.5
|
Shalom.Bresticker@motorola.com
|
Dec 11 2002
|
9.5: when is case default executed
|
217 | lrmdraft
|
errata-discuss
|
2001b
|
Shalom.Bresticker@motorola.com
|
Dec 11 2002
|
Note that task/func params can only be overridden by defparams
|
218 | lrmdraft
|
errata-simple
|
2001b, 2.8
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Dec 16 2002
|
Nested attributes should be prohibited
|
219 | lrmdraft
|
errata-simple
|
2001b: 17.9.3
|
Shalom.Bresticker@motorola.com
|
Dec 16 2002
|
17.9.3: wrong bolding in C code
|
220 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Dec 16 2002
|
$random functions not 64-bit portable
|
221 | lrmdraft
|
errata-simple
|
2001b
|
"Brad Pierce" <bpierce@synopsys.com>
|
Dec 16 2002
|
passim: right-hand and left-hand misspelled
|
222 | closed
|
mistaken
|
2001b
|
Stefen Boyd <stefen@boyd.com>
|
Dec 16 2002
|
BOUNCE etf@boyd.com: Non-member submission from ["Brad Pierce"
|
223 | lrmdraft
|
errata-simple
|
2001b: 9.5, 17.10
|
Shalom.Bresticker@motorola.com
|
Dec 17 2002
|
9.5, 17.10: typos
|
224 | lrmdraft
|
errata-simple
|
2001b: 10.2 (Syntax 10-1), A.2.7
|
Shalom.Bresticker@motorola.com
|
Dec 18 2002
|
10.2, A.2.7: tf port declarations
|
225 | lrmdraft
|
errata-discuss
|
2001b: 12.3.3
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Dec 20 2002
|
12.3.3: The fourth port direction
|
226 | lrmdraft
|
errata-discuss
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Dec 23 2002
|
Illegal to assign mintypmax expression to parameter?
|
227 | open
|
errata-discuss
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Dec 23 2002
|
task/function port lists and internal block item declarations
|
228 | lrmdraft
|
errata-simple
|
2001b: 4.2.1
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Dec 23 2002
|
4.2.1: indexed part-select with width-expression of -5
|
229 | closed
|
superceded
|
2001b: 9.6 (Syntax 9-7), 9.8.1 (Syntax 9-13), A.6.3, A.6.8
|
Shalom.Bresticker@motorola.com
|
Dec 24 2002
|
A.6.3, A.6.8: some function_statement should be function_statement_or_null
|
230 | closed
|
mistaken
|
2001b: 9.6 (Syntax 9-7), A.6.8
|
Shalom.Bresticker@motorola.com
|
Dec 24 2002
|
function_loop_statement should not allow "forever"?
|
231 | lrmdraft
|
errata-simple
|
2001b: A.6.2, A.6.4
|
Shalom.Bresticker@motorola.com
|
Dec 24 2002
|
A.6.2: function_statement_or_null should be in A.6.4
|
232 | lrmdraft
|
errata-simple
|
2001b: 2.7.4 (Syntax 2-2), A.6.9
|
Shalom.Bresticker@motorola.com
|
Dec 25 2002
|
Syntax 2-2, A.6.9: system_task_enable with null arguments
|
233 | open
|
errata-discuss
|
2001b: 12.3.4
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Dec 25 2002
|
12.3.4: nonport declarations for identifiers mentioned in list_of_port_declarations
|
234 | open
|
errata-discuss
|
2001b: 12.3
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Dec 25 2002
|
12.3.{2,3,6}, named port connections for implicit ports with same name
|
235 | lrmdraft
|
errata-simple
|
2001b: 8.1.6 (Table 40)
|
Cliff Cummings
|
Dec 29 2002
|
Table 40 (8.1.6): UDP output should allow X
|
236 | closed
|
superceded
|
2001b: 6 (Table 30)
|
Shalom.Bresticker@motorola.com
|
Dec 29 2002
|
Table 30: Last line should be Concatenation of any of the above
|
237 | open
|
errata-discuss
|
2001b: 15.1, 15.6, A.7.5.3
|
Dan.Jacobi@intel.com
|
Dec 29 2002
|
15.1, 15.6, A.7.5.3: scalar_timing_check_expressions has redundancies
|
238 | lrmdraft
|
errata-discuss
|
2001b: 12.1 (Syntax 12-1), 12.3.1 (Syntax 12-5), 14.2.2 (Syntax 14-3), A.1.4, A.7.3
|
Shalom.Bresticker@motorola.com
|
Dec 31 2002
|
A.1.4, A.7.3: Should range_expression be constant_range_expression?
|
239 | lrmdraft
|
errata-simple
|
2001b: 1.4
|
Shalom.Bresticker@motorola.com
|
Jan 01 2003
|
1.4: "veriuser.h" and "vpi_user.h" reversed
|
240 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Jan 01 2003
|
Allow initializing declarations in named blocks, tasks, functions
|
241 | closed
|
errata-ptf
|
2001b: 23,25,27
|
Shalom.Bresticker@motorola.com
|
Jan 01 2003
|
23,25,27: every pli routine definition starts a new page
|
242 | lrmdraft
|
errata-ptf
|
2001b: 23.9, 27.15
|
Shalom.Bresticker@motorola.com
|
Jan 01 2003
|
23.9, 27.15: Is -f standard ?
|
243 | lrmdraft
|
errata-simple
|
2001b: 10.3.1
|
Paul Graham
|
Jan 01 2003
|
10.3.1: Call functions "reentrant", not "recursive"
|
244 | lrmdraft
|
errata-simple
|
2001b: 12.1.2 (Syntax 12-2), A.4.1
|
Shalom.Bresticker@motorola.com
|
Jan 02 2003
|
A.4.1: change name_of_instance to name_of_module_instance
|
245 | open
|
errata-discuss
|
2001b: 12.2
|
Shalom.Bresticker@motorola.com
|
Jan 05 2003
|
12.2: confusing
|
246 | closed
|
duplicate
|
2001b: 7.1.5, 12.1.2, 12.4
|
Shalom.Bresticker@motorola.com
|
Jan 05 2003
|
12.4 et al: hierarchical references from instance array
|
247 | open
|
errata-discuss
|
2001b: 7.1.5, 12.1.2, 12.4
|
Paul Graham
|
Jan 05 2003
|
12.4 et al: hierarchical references to instance array
|
248 | open
|
errata-discuss
|
2001b: 3.12, 13
|
Shalom.Bresticker@motorola.com
|
Jan 05 2003
|
3.12: configurations, modules, and name spaces
|
249 | lrmdraft
|
errata-simple
|
2001b: 3.11.3
|
Shalom.Bresticker@motorola.com
|
Jan 06 2003
|
3.11.3: needless reference to 1364-1995
|
250 | closed
|
errata-simple
|
2001b: A.8.3
|
Dan.Jacobi@intel.com
|
Jan 06 2003
|
A.8.3: Is expression redundant in range_expression?
|
251 | lrmdraft
|
errata-ptf
|
2001b: 27.37
|
Shalom.Bresticker@motorola.com
|
Jan 06 2003
|
27.37: struckout "stdout" should be deleted
|
252 | open
|
enhancement-ptf
|
2001b
|
Charles Dawson
|
Jan 08 2003
|
Need to reserve range of values for future additions to VPI
|
253 | lrmdraft
|
errata-ptf
|
2001b: 26.6.6, 26.6.7
|
Charles Dawson
|
Jan 08 2003
|
typos in 26.6.6 note 19, 26.6.7 note 12
|
254 | open
|
errata-discuss
|
2001b: 3.10, 4.2, 6, 9.2, 12.3.9.2
|
Shalom.Bresticker@motorola.com
|
Jan 09 2003
|
Table 30 should clarify that LHS can be array word or select
|
255 | open
|
errata-discuss
|
2001b
|
Shalom.Bresticker@motorola.com
|
Jan 12 2003
|
clarify explicitly whether a module may instantiate itself
|
256 | open
|
errata-simple
|
2001b: Annex A
|
Shalom.Bresticker@motorola.com
|
Jan 12 2003
|
red hyperlinked BNF?
|
257 | lrmdraft
|
errata-simple
|
2001b: 12.4, 12.5, A.Notes
|
Shalom.Bresticker@motorola.com
|
Jan 12 2003
|
Should white space be allowed in hierarchical references?
|
258 | lrmdraft
|
errata-simple
|
2001b: 12.3.2, 12.3.4, 12.3.6
|
Shalom.Bresticker@motorola.com
|
Jan 12 2003
|
12.3.4: "simple identifier" is misleading
|
259 | lrmdraft
|
errata-simple
|
2001b: Syntax 19-2, A.9.3
|
Shalom.Bresticker@motorola.com
|
Jan 12 2003
|
19.3.1: Allow escaped identifiers as text macro names
|
260 | lrmdraft
|
errata-simple
|
2001b: 17.1.1, 17.1.1.2
|
Shalom.Bresticker@motorola.com
|
Jan 12 2003
|
17.1.1: typo, missing comma
|
261 | lrmdraft
|
errata-discuss
|
2001b
|
Paul Graham
|
Jan 13 2003
|
Clarify whether a is the same as a[0:0] when a is a scalar?
|
262 | lrmdraft
|
errata-simple
|
2001b: 13.1
|
Anders Nordstrom <andersn@sympatico.ca>
|
Jan 13 2003
|
Formatting problem in example in Clause 13.1
|
263 | lrmdraft
|
errata-simple
|
2001b: 17.9.1, 17.9.2
|
Shalom.Bresticker@motorola.com
|
Jan 15 2003
|
17.9: incorrect bolding in BNFs
|
264 | lrmdraft
|
errata-simple
|
2001b: 3.7.4, 3.8, 4.1.12, 4.2.1, 15.6, 27.14
|
Shalom.Bresticker@motorola.com
|
Jan 17 2003
|
misc. editorial boo-boos
|
265 | lrmdraft
|
errata-simple
|
2001b: 9.2.2, 5.4.1(2)
|
Shalom.Bresticker@motorola.com
|
Jan 17 2003
|
9.2.2: misc editorial fixes
|
266 | closed
|
duplicate
|
2001b: 4.1.14
|
Shalom.Bresticker@motorola.com
|
Jan 17 2003
|
4.1.14: replication operator
|
267 | lrmdraft
|
errata-simple
|
2001b: 2.5.1
|
Shalom.Bresticker@motorola.com
|
Jan 17 2003
|
2.5.1: Integer constants
|
268 | closed
|
duplicate
|
2001b: 17.9.1 (Syntax 17-17), 17.9.2 (Syntax 17-18)
|
Shalom.Bresticker@motorola.com
|
Jan 19 2003
|
Syntax 17-17,18: misbolding
|
269 | lrmdraft
|
errata-simple
|
2001b
|
Shalom.Bresticker@motorola.com
|
Jan 20 2003
|
more keyword bolding
|
270 | lrmdraft
|
errata-simple
|
2001b: 9.7, 12.2
|
Shalom.Bresticker@motorola.com
|
Jan 20 2003
|
9.7, 12.2: keyword "or" should be bold
|
271 | lrmdraft
|
errata-ptf
|
2001b: 26.3.3, 26.6.1
|
Karen Pieper <Karen.Pieper@synopsys.com>
|
Jan 21 2003
|
26.3.3, 26.6.1 refer to `file directive
|
272 | analyzed
|
errata-discuss
|
2001b: Syntax 12-2, A.4.1
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Jan 24 2003
|
Nonconstant parameter assignments in module instantiations (A.4.1)
|
273 | open
|
errata-discuss
|
2001b: 4.
|
Shalom.Bresticker@motorola.com
|
Jan 25 2003
|
LRM does not specify result of real division by 0
|
274 | open
|
errata-discuss
|
2001b: 17.1
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jan 26 2003
|
LRM does not specify how Infinity and NaNs to be displayed for
|
275 | lrmdraft
|
errata-simple
|
2001b: 15.2.3, 15.2.6
|
Shalom.Bresticker@motorola.com
|
Jan 28 2003
|
15.2.3, 15.2.6: "timecheck" should be timestamp"
|
276 | lrmdraft
|
errata-simple
|
2001b: A.6.4
|
sharp@cadence.com
|
Jan 28 2003
|
A.6.4: BNF doesn't allow fork/join in functions
|
277 | lrmdraft
|
errata-simple
|
2001b: 3.9, 3.9.1
|
Shalom.Bresticker@motorola.com
|
Jan 28 2003
|
3.9: bad references, etc.
|
278 | open
|
errata-simple
|
2001b: 17.1.1
|
Shalom.Bresticker@motorola.com
|
Jan 30 2003
|
17.1.1: not clear how "\a" is interpreted
|
279 | closed
|
mistaken
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jan 30 2003
|
/268
|
280 | proposal
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Jan 30 2003
|
turn xrefs blue and/or underlined
|
281 | closed
|
mistaken
|
2001b
|
Karen Pieper <Karen.Pieper@synopsys.com>
|
Jan 31 2003
|
fork..join in automatic tasks/functions
|
282 | lrmdraft
|
errata-discuss
|
2001b: 4.5
|
harry@verplex.com
|
Feb 02 2003
|
4.5: sign extension and bit-length rules not clear enough
|
283 | lrmdraft
|
errata-simple
|
2001b: 2.5.1
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Feb 14 2003
|
Syntax 2-1: 'dx, 'dz
|
284 | lrmdraft
|
errata-ptf
|
2001b: 13.6, 26.6, Annex G
|
|
Feb 16 2003
|
errata/284: vpiUseBinding not defined in Annex G
|
285 | open
|
errata-discuss
|
2001b: 18.1.3
|
Stephen Williams <steve@icarus.com>
|
Feb 18 2003
|
18.1.3: VCD $dumpoff and real values
|
286 | lrmdraft
|
errata-ptf
|
2001b: 26.6
|
Shalom.Bresticker@motorola.com
|
Feb 19 2003
|
26.6.25: vpiBitSelect is not defined
|
287 | etfpassed
|
enhancement
|
2001b
|
Stuart Sutherland <stuart@sutherland-hdl.com>
|
Feb 21 2003
|
`compatibility - backward compatibility compiler directives
|
288 | lrmdraft
|
errata-discuss
|
2001b: 4.1.14
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Feb 23 2003
|
4.1.14: replication operator and function calls
|
289 | closed
|
mistaken
|
2001b
|
Karen Pieper <Karen.Pieper@synopsys.com>
|
Feb 26 2003
|
Bit selects of integers
|
290 | open
|
errata-discuss
|
2001b: 2.7.1, 2.7.3
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Mar 02 2003
|
2.7: Escaping keyword identifiers
|
291 | closed
|
superceded
|
2001b: 19.7
|
sharp@cadence.com
|
Mar 03 2003
|
19.7: misleading text on `line
|
292 | open
|
errata-discuss
|
2001b: 12.3.3
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Mar 04 2003
|
12.3.3 : net declaration before port declaration
|
293 | open
|
enhancement
|
2001b
|
Kurt Baty <kurt@wsfdb.wsfdb.com>
|
Mar 05 2003
|
variable width floating point in Verilog 200X
|
294 | lrmdraft
|
errata-ptf
|
2001b: 26.6.42
|
sharp@cadence.com
|
Mar 07 2003
|
vpiDefAttribute on non-modules 26.6.42
|
295 | lrmdraft
|
errata-simple
|
2001b: A.1.5
|
sharp@cadence.com
|
Mar 07 2003
|
A.1.5: Attribute on generated_instantiation
|
296 | ptfpassed
|
enhancement-ptf
|
2001b
|
chas@cadence.com
|
Mar 10 2003
|
Generate stmts will need change made in VPI
|
297 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Mar 10 2003
|
Allow instance array connected to data array
|
298 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Mar 10 2003
|
Add field widths to print formats
|
299 | closed
|
mistaken
|
2001b
|
Steven Sharp <sharp@cadence.com>
|
Mar 10 2003
|
/117 comments
|
300 | closed
|
duplicate
|
2001b
|
"Pat Garvin" <pgarvin@attbi.com>
|
Mar 12 2003
|
Possible BNF problem
|
301 | closed
|
duplicate
|
2001b
|
Francoise Martinolle
|
Mar 17 2003
|
local params paragraph issues
|
302 | open
|
errata-simple
|
2001b
|
sharp@cadence.com
|
Mar 20 2003
|
Why only ranges on specparams (A2.1.1)
|
303 | lrmdraft
|
errata-ptf
|
2001b: 26.6.25
|
Debi Dalio
|
Mar 21 2003
|
26.6.25: incorrectly italicized words
|
304 | lrmdraft
|
errata-ptf
|
2001b: 26.6.26
|
Debi Dalio
|
Mar 21 2003
|
26.6.26: "parenthesis" should be "parentheses"
|
305 | lrmdraft
|
errata-ptf
|
2001b: 26.6.31
|
Debi Dalio
|
Mar 21 2003
|
26.6.31: Redundant note
|
306 | lrmdraft
|
errata-ptf
|
2001b: 26.6.40
|
Debi Dalio
|
Mar 21 2003
|
26.6.40: Time queue - note 3 improperly worded
|
307 | open
|
errata-ptf
|
2001b
|
Stephen Williams <steve@icarus.com>
|
Mar 21 2003
|
27.19 what happenes with escaped identifiers
|
308 | lrmdraft
|
errata-simple
|
2001b: 9.7.2
|
Shalom.Bresticker@motorola.com
|
Mar 23 2003
|
9.7.2 ambiguous about @r when r is a vector
|
309 | lrmdraft
|
errata-simple
|
2001b: 19.4 (Example 3)
|
Shalom.Bresticker@motorola.com
|
Mar 24 2003
|
19.4, Example 3: two run-on $display lines
|
310 | lrmdraft
|
errata-simple
|
2001b: 3.9.2, 6.2, 17.2.4.3, 26.3.3, etc.
|
Shalom.Bresticker@motorola.com
|
Mar 24 2003
|
wrong or poor xrefs
|
311 | open
|
errata-ptf
|
2001b
|
James J. Kulikowski <jjk@cadence.com>
|
Mar 24 2003
|
Problem with loads in VPI
|
312 | open
|
enhancement-ptf
|
2001b
|
Charles Dawson
|
Mar 24 2003
|
Need two new time related callbacks
|
313 | open
|
errata-ptf
|
2001b
|
Charles Dawson
|
Mar 24 2003
|
Need to document the order of event related VPI callbacks
|
314 | closed
|
mistaken
|
2001b
|
Stephen Williams <steve@icarus.com>
|
Mar 27 2003
|
Re: errata
|
315 | closed
|
mistaken
|
2001b
|
Shalom.Bresticker@motorola.com
|
Mar 27 2003
|
Re: errata
|
316 | closed
|
mistaken
|
2001b
|
Stephen Williams <steve@icarus.com>
|
Mar 27 2003
|
Re: errata
|
317 | lrmdraft
|
errata-discuss
|
2001b:3.10
|
Shalom.Bresticker@motorola.com
|
Mar 29 2003
|
3.10: max array size not clear enough
|
318 | open
|
errata-simple
|
2001b: 9.3.2
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Apr 01 2003
|
Table 30, 9.3.2, procedural assign to nonconstant part select and force of nonconstant bit-select
|
319 | closed
|
duplicate
|
2001b: 6, 9.3.2, 12.3.9.2
|
Shalom.Bresticker@motorola.com
|
Apr 02 2003
|
constant array element select as LHS of continuous assignment
|
320 | lrmdraft
|
errata-ptf
|
2001b: 26.6.7, 26.6.9
|
Charles Dawson
|
Apr 02 2003
|
26.6: Should memories be of type vpiMemory or vpiRegArray?
|
321 | open
|
errata-discuss
|
2001b: Table 29, 4.1.14, 2.5.1(3), 3.9, 9.5
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Apr 04 2003
|
Table 29, 4.1.14, 2.5.1(3), 3.9, 9.5 -- size of unsized numbers and integer variables
|
322 | lrmdraft
|
errata-simple
|
2001b: 14.5
|
Shalom.Bresticker@motorola.com
|
Apr 06 2003
|
14.5, para. 2: "Figure 40" should be "Figure 39"
|
323 | open
|
errata-simple
|
2001b: NOTES
|
Shalom.Bresticker@motorola.com
|
Apr 08 2003
|
Some NOTES should be normative
|
324 | open
|
errata-discuss
|
2001b: 15.1, A.7.5.2
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Apr 09 2003
|
15.1, A.7.5.2 -- Should timing_check_limit be constant_expression?
|
325 | lrmdraft
|
errata-discuss
|
2001b: 12.4
|
sharp@cadence.com
|
Apr 10 2003
|
12.4 confused on hierarchy for automatics
|
326 | open
|
errata-discuss
|
2001b
|
Vassilios.Gerousis@infineon.com
|
Apr 11 2003
|
[sv-ec] Handling of escaped identifiers.
|
327 | closed
|
mistaken
|
2001b
|
"Zik Odute" <zikodute@shuf.com>
|
Apr 12 2003
|
spam
|
328 | lrmdraft
|
errata-ptf
|
2001b: 23.42
|
Shalom.Bresticker@motorola.com
|
Apr 13 2003
|
23.42: "acc_next_datapath" should be "acc_handle_datapath"
|
329 | ptfpassed
|
errata-ptf
|
2001b: 27.29
|
Shalom.Bresticker@motorola.com
|
Apr 13 2003
|
27.29: vpi_put_data not used in example
|
330 | closed
|
duplicate
|
2001b: 27.29
|
Shalom.Bresticker@motorola.com
|
Apr 13 2003
|
27.29: vpi_put_data not used in example
|
331 | lrmdraft
|
errata-simple
|
2001b: 19.3.1
|
Shalom.Bresticker@motorola.com
|
Apr 14 2003
|
19.3.1: when is a `define argument not an argument?
|
332 | open
|
errata-discuss
|
2001b: 12.3.3
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Apr 15 2003
|
12.3.3 -- port direction declarations that don't mention the size of port
|
333 | closed
|
duplicate
|
2001b
|
Rohit Rana
|
Apr 16 2003
|
vpiMultiArray property missing
|
334 | lrmdraft
|
errata-discuss
|
2001b: 12.6, Example 2
|
Shalom.Bresticker@motorola.com
|
Apr 27 2003
|
12.7, Example 2: incorrect comment "redundant assignments to reg r"
|
335 | lrmdraft
|
errata-ptf
|
2001b: 23.24
|
Shalom.Bresticker@motorola.com
|
Apr 27 2003
|
23.24: "acc_fetch_paramtype" should be "acc_fetch_paramval" x 2
|
336 | open
|
errata-discuss
|
2001b: 26.6.42, Annex A
|
sharp@cadence.com
|
Apr 28 2003
|
Attributes in VPI but not in BNF (26.6.42 vs Appendix A)
|
337 | lrmdraft
|
errata-simple
|
2001b: A.1.5
|
sharp@cadence.com
|
Apr 28 2003
|
A.1.5: BNF allows attribute on specify_block
|
338 | lrmdraft
|
errata-simple
|
2001b: Syntax 14-4, A.7.4
|
Dennis Marsa <drm@xilinx.com>
|
Apr 29 2003
|
Syntax 14-4, A.7.4: syntax of edge sensitive module path descriptions
|
339 | open
|
errata-ptf
|
2001b
|
Dennis Marsa <drm@xilinx.com>
|
May 02 2003
|
pulse control declarations not represented in VPI
|
340 | closed
|
mistaken
|
2001b
|
"James A. Markevitch" <jam@magic.com>
|
May 05 2003
|
/210
|
341 | lrmdraft
|
errata-ptf
|
2001b: 26.6.7, 26.6.9
|
chas@cadence.com
|
May 06 2003
|
How are two dimensional arrays of regs to be treated in VPI?
|
342 | ptfpassed
|
enhancement-ptf
|
2001b
|
chas@cadence.com
|
May 06 2003
|
Deprecate the PLI 1.0 sections
|
343 | lrmdraft
|
errata-ptf
|
2001b: 22.9.4
|
Shalom.Bresticker@motorola.com
|
May 07 2003
|
22.9.4: code example cut off at left side
|
344 | analyzed
|
doc-bug
|
2001b: 9.5
|
Stephen Williams <steve@icarus.com>
|
May 07 2003
|
9.5: Case Statements with Real Expressions
|
345 | closed
|
duplicate
|
2001b: 10.2.1, 10.3.1, 12.3.3, 12.3.4
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
May 09 2003
|
10.2.1, 10.3.1, 12.3.3, 12.3.4 -- ANSI-style port lists and redeclaration
|
346 | lrmdraft
|
errata-simple
|
2001b: A.2.6
|
sharp@cadence.com
|
May 14 2003
|
A.2.6: no attributes on old-style function arguments
|
347 | open
|
enhancement-ptf
|
2001b
|
Stephen Williams <steve@icarus.com>
|
May 16 2003
|
No support for file fd (vs. mcd) in vpi (proposal?)
|
348 | closed
|
mistaken
|
2001b
|
Kurt Baty <kurt@wsfdb.wsfdb.com>
|
May 19 2003
|
/140 "**" as an integer trucated operator
|
349 | closed
|
mistaken
|
2001b
|
|
May 19 2003
|
spam
|
350 | proposal
|
enhancement
|
2001b
|
sharp@cadence.com
|
May 19 2003
|
Proposal to deprecate configs in Verilog source files
|
351 | lrmdraft
|
errata-simple
|
2001b: 9.2.2, Example 7
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
May 26 2003
|
9.2.2, Example 7: unclear comment
|
352 | lrmdraft
|
errata-simple
|
2001b: 10.2.2
|
sharp@cadence.com
|
May 27 2003
|
10.2.2 implies task arguments can be left off
|
353 | open
|
errata-discuss
|
2001b: 1.2
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
May 28 2003
|
1.2: clarify terms like "undefined"
|
354 | lrmdraft
|
enhancement
|
2001c: 17.2.8
|
sharp@cadence.com
|
May 29 2003
|
17.2.8: There is no $feof function
|
355 | open
|
errata-simple
|
2001b: 3.7, 7.10, 7.13
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jun 01 2003
|
3.7 and 7.10,7.13: need xrefs
|
356 | lrmdraft
|
errata-simple
|
2001b: 16
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jun 02 2003
|
16: "1497-1999" should be "1497-2001"
|
357 | open
|
enhancement
|
2005
|
Jay Lawrence - Cadence Design Systems
|
Jun 02 2003
|
Proposal for Extending Verilog Data Types
|
358 | open
|
enhancement
|
2005
|
Jay Lawrence - Cadence Design Systems
|
Jun 02 2003
|
Proposal for IP Encryption Format for Verilog
|
359 | open
|
enhancement
|
2005
|
Jay Lawrence - Cadence Design Systems
|
Jun 02 2003
|
Proposal for Randomization and Constraints
|
360 | open
|
errata-discuss
|
2001b:D.3-D.6
|
Shalom.Bresticker@motorola.com
|
Jun 08 2003
|
D.3-D.6: delay modes not defined
|
361 | lrmdraft
|
errata-simple
|
2001b: Syntax 14-1
|
Shalom.Bresticker@motorola.com
|
Jun 08 2003
|
Syntax 14-1 omits specify_block
|
362 | open
|
errata-discuss
|
2001b: 15
|
Shalom.Bresticker@motorola.com
|
Jun 08 2003
|
15: notifier is reg only?
|
363 | open
|
errata-discuss
|
2001b: 15.5.1-15.5.4
|
Shalom.Bresticker@motorola.com
|
Jun 08 2003
|
15.5.1-15.5.4: neg timing checks only?
|
364 | open
|
errata-discuss
|
2001b: 19.3
|
Stephen Williams <steve@icarus.com>
|
Jun 15 2003
|
19.3: Preprocessor macros within strings
|
365 | lrmdraft
|
errata-ptf
|
2001b: 26.6.6
|
Charles Dawson
|
Jun 19 2003
|
26.6.6 vpiLeftRange/vpiRightRange from net bit makes no sense
|
366 | lrmdraft
|
errata-ptf
|
2001b: 26.6.8
|
Charles Dawson
|
Jun 24 2003
|
26.6.8: Variables should clarify use of range iteration
|
367 | lrmdraft
|
errata-ptf
|
2001b: 27.32
|
Charles Dawson
|
Jun 24 2003
|
27.32 Cancelling event does not say what happens to handle
|
368 | ptfpassed
|
errata-ptf
|
2001b
|
Charles Dawson
|
Jun 25 2003
|
26.3.3 Should vpiLineNo and vpiFile apply to generate objs?
|
369 | open
|
enhancement-ptf
|
2001b
|
Charles Dawson
|
Jun 25 2003
|
Clean up VPI diagrams to remove redundancy
|
370 | open
|
enhancement-ptf
|
2001b
|
Charles Dawson
|
Jun 25 2003
|
Use formal language such as UML and Rational Rose for data
|
371 | open
|
enhancement-ptf
|
2001b
|
Charles Dawson
|
Jun 25 2003
|
26.6.1 Should we break it up?
|
372 | open
|
errata-discuss
|
2001b: 13.1-13.4
|
Hemant Gupta <hgupta@cadence.com>
|
Jun 26 2003
|
13: Errata on Verilog configurations
|
373 | open
|
errata-ptf
|
2001b
|
Charles Dawson
|
Jun 26 2003
|
27.32 How does inertial delay work for mixed sizes?
|
374 | open
|
errata-ptf
|
2001b
|
Charles Dawson
|
Jun 26 2003
|
27.32 putting values with delay are they active or inactive?
|
375 | open
|
errata-simple
|
2001b: A.1.5, A.7.1
|
sharp@cadence.com
|
Jun 26 2003
|
A.1.5, A.7.1: Consistency on attributes on specparams
|
376 | closed
|
mistaken
|
|
|
Jun 27 2003
|
spam
|
377 | closed
|
mistaken
|
2001b
|
=?GB2312?B?yczWrsLDuavLvg==?= <zgtok@163.com>
|
Jul 04 2003
|
spam
|
378 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jul 07 2003
|
add Quick Reference
|
379 | closed
|
duplicate
|
2001b: 4.2.1
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jul 09 2003
|
4.2.1: "run-time parameter assignments" ???
|
380 | lrmdraft
|
errata-discuss
|
2001b: 12.1.3
|
sharp@cadence.com
|
Jul 09 2003
|
12.1.3: should allow tasks/functions in generate loops
|
381 | analyzed
|
enhancement
|
2001b
|
sharp@cadence.com
|
Jul 09 2003
|
table model system task
|
382 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Jul 09 2003
|
add view configurations
|
383 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Jul 09 2003
|
add inherited connections
|
384 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Jul 09 2003
|
add mfactor parameters
|
385 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Jul 09 2003
|
interconnect net that resolves to type
|
386 | analyzed
|
enhancement
|
2001b
|
sharp@cadence.com
|
Jul 09 2003
|
user defined functions on instantiations
|
387 | lrmdraft
|
enhancement
|
2001c: 4, 10.3.5, A.8.4
|
sharp@cadence.com
|
Jul 09 2003
|
calling system tasks at elaboration
|
388 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Jul 09 2003
|
genvar in behavior
|
389 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Jul 09 2003
|
dynamic param
|
390 | lrmdraft
|
enhancement
|
2001b: 17.11
|
sharp@cadence.com
|
Jul 09 2003
|
17.11: math functions
|
391 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Jul 09 2003
|
wreal type
|
392 | proposal
|
enhancement
|
2001b
|
sharp@cadence.com
|
Jul 09 2003
|
break/continue statements to break out of loops
|
393 | closed
|
mistaken
|
2001b
|
|
Jul 12 2003
|
spam
|
394 | open
|
errata-discuss
|
2001b: 17.10.2
|
Gordon Vreugdenhil <gvreugde@Synopsys.COM>
|
Jul 14 2003
|
17.10.2: Meaning of special characters in $value$plusargs
|
395 | open
|
errata-simple
|
2001b: 3.9.1
|
Shalom.Bresticker@motorola.com
|
Jul 16 2003
|
3.9.1: content overlaps end of 3.9 + other problems
|
396 | analyzed
|
errata-ptf
|
2001b
|
Hemant Gupta <hgupta@cadence.com>
|
Jul 17 2003
|
Errata on VPI configuration properties
|
397 | ptfpassed
|
errata-ptf
|
2001b
|
Charles Dawson
|
Jul 17 2003
|
27.18 vpiMultiArray defined but not in diagrams
|
398 | lrmdraft
|
errata-ptf
|
2001b
|
Charles Dawson
|
Jul 17 2003
|
27.18: Description of return value is incorrect
|
399 | closed
|
mistaken
|
|
|
Jul 19 2003
|
spam
|
400 | open
|
enhancement
|
2001b: 4.1.5
|
Shalom.Bresticker@motorola.com
|
Jul 25 2003
|
reduce arithmetic operators x-pessimism
|
401 | open
|
enhancement
|
2001b: 4.1.7
|
Shalom.Bresticker@motorola.com
|
Jul 25 2003
|
reduce relational operators x-pessimism
|
402 | lrmdraft
|
errata-discuss
|
2001b: 9.5
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Jul 26 2003
|
9.5: evaluation of case expression
|
403 | lrmdraft
|
errata-simple
|
2001b: 4.1.13
|
Shalom.Bresticker@motorola.com
|
Jul 27 2003
|
4.1.13: "known" and "ambiguous" are ambiguous
|
404 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Jul 27 2003
|
add wildcards for equality operators
|
405 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Jul 27 2003
|
add ranges for equality operators
|
406 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Jul 27 2003
|
add lists for equality operators
|
407 | closed
|
TBD
|
2001b
|
|
Jul 28 2003
|
spam
|
408 | closed
|
mistaken
|
|
|
Jul 28 2003
|
spam
|
409 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jul 28 2003
|
lists in part-selects
|
410 | closed
|
mistaken
|
|
"Velayutham V" <velayuthamv@myw.ltindia.com>
|
Jul 30 2003
|
Join me in this group
|
411 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jul 31 2003
|
extend operators to vectors and arrays
|
412 | lrmdraft
|
errata-simple
|
2001b: 2.5.1
|
Shalom.Bresticker@motorola.com
|
Aug 01 2003
|
2.5.1: rearrange text on x/z
|
413 | lrmdraft
|
errata-discuss
|
2001b: 4
|
Shalom.Bresticker@motorola.com
|
Aug 01 2003
|
4. scalar expressions
|
414 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 02 2003
|
rotate operator
|
415 | lrmdraft
|
errata-simple
|
2001b: 4.3, A.8.4
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 13 2003
|
BNF: redundant function calls in "module_path_primary" and "primary"
|
416 | open
|
errata-discuss
|
2001b
|
Shalom.Bresticker@motorola.com
|
Aug 14 2003
|
check use of constant_expression
|
417 | open
|
errata-simple
|
2001b: 2, 12
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 15 2003
|
2, 12: improving syntax boxes
|
418 | open
|
errata-discuss
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 18 2003
|
review 1364-2001 ballot comments and responses
|
419 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 18 2003
|
reconsider for 1364-2005 proposals made for 1364-2001
|
420 | open
|
enhancement-ptf
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 18 2003
|
reconsider deferred PLI change proposals
|
421 | open
|
enhancement
|
2001b: 17.9.3
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 18 2003
|
17.9.3: move to Annex
|
422 | open
|
enhancement
|
2001b: 18
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 18 2003
|
18: extend $dumpvars to exclude a signal or module
|
423 | open
|
errata-discuss
|
2001b
|
sharp@cadence.com
|
Aug 19 2003
|
17.2.4.3 $sscanf and x/z
|
424 | open
|
errata-simple
|
2001b
|
Jay Lawrence - Cadence Design Systems
|
Aug 21 2003
|
Clarify terms "design unit", "description" and "hardware description"
|
425 | lrmdraft
|
errata-discuss
|
2001c: 3.12, 12.3, 13.1.1, 13.3.1.6
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 21 2003
|
"macromodule" use
|
426 | lrmdraft
|
errata-ptf
|
2001b
|
Charles Dawson
|
Aug 21 2003
|
26.6.3 transition from module to scope needs vpiInternalScope label
|
427 | open
|
enhancement
|
2001b: 4.1.3, 4.1.6
|
Shalom.Bresticker@motorola.com
|
Aug 22 2003
|
combine 4.1.3 and 4.1.6
|
428 | proposal
|
errata-discuss
|
2001c: 2.5.1
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Aug 22 2003
|
2.5.1: value of 16'shc, request for clarification
|
429 | open
|
enhancement
|
2001b
|
Stefen Boyd <stefen@boyd.com>
|
Aug 22 2003
|
Incorporate by reference Accellera PSL
|
430 | proposal
|
enhancement
|
2005
|
Jay Lawrence - Cadence Design Systems
|
Aug 22 2003
|
Add `pragma compiler directive
|
431 | proposal
|
enhancement
|
2001b
|
Jay Lawrence - Cadence Design Systems
|
Aug 22 2003
|
Add language defined attribute capability
|
432 | proposal
|
enhancement
|
2001b
|
Jay Lawrence - Cadence Design Systems
|
Aug 22 2003
|
Add shared declaration mechanism to Verilog - packages
|
433 | open
|
enhancement-ptf
|
2005
|
Francoise Martinolle
|
Aug 22 2003
|
VPI model extensions for PSL assertions
|
434 | open
|
enhancement-ptf
|
2005
|
Francoise Martinolle
|
Aug 22 2003
|
VPI model extensions for data types access (Cadence donation enhancement 357)
|
435 | open
|
enhancement
|
2005
|
Francoise Martinolle
|
Aug 22 2003
|
Verilog transaction recording extensions
|
436 | proposal
|
enhancement
|
2001b
|
Jay Lawrence - Cadence Design Systems
|
Aug 22 2003
|
Non-blocking event trigger
|
437 | closed
|
duplicate
|
2005
|
Ennis Hawk, Jeda Technologies
|
Aug 23 2003
|
A proposal for adding verification test bench specific enhancements
|
438 | open
|
enhancement
|
2005
|
Yaron Kashai
|
Aug 24 2003
|
Verification extensions for Verilog
|
439 | lrmdraft
|
errata-simple
|
2001b: Syntax 6-2
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 25 2003
|
Syntax 6-2 should be titled "Syntax for variable declaration
|
440 | closed
|
duplicate
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 25 2003
|
|
441 | lrmdraft
|
errata-simple
|
2001b: 3.2.2, 4.1.6
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 25 2003
|
3.2.2, 4.1.6: Nets also have signedness
|
442 | proposal
|
enhancement
|
2001b
|
Jay Lawrence - Cadence Design Systems
|
Aug 25 2003
|
Add auto-increment and auto-decrement statements
|
443 | open
|
enhancement
|
2001b
|
Jay Lawrence - Cadence Design Systems
|
Aug 25 2003
|
Allow modules to be passed through ports
|
444 | open
|
errata-simple
|
2001b: 17.2.4.3, 17.2.5
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 25 2003
|
17.2.4.3, 17.2.5: returning EOF
|
445 | lrmdraft
|
errata-simple
|
2001c: Syntax 17-1, 2, 4, 5, 13, 17, 18
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 25 2003
|
Section 17: syntax misbolding
|
446 | open
|
errata-discuss
|
2001b: 17.2.4
|
sharp@cadence.com
|
Aug 25 2003
|
17.2.4 gives incorrect modes for reading
|
447 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 26 2003
|
`ifdef boolean combination of identifiers
|
448 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 26 2003
|
extend new file i/o to allow combinations of fd's
|
449 | open
|
errata-discuss
|
2001b: 4.1.4
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Aug 26 2003
|
4.1.4 -- expression evaluation short circuiting
|
450 | open
|
enhancement
|
2001b
|
Stephen Williams <steve@icarus.com>
|
Aug 26 2003
|
Compressed Assignment Satements
|
451 | open
|
enhancement
|
2001b: C,D
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 27 2003
|
review Annex C and D
|
452 | open
|
errata-simple
|
2001b: 10.2.2, 10.3.1
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Aug 27 2003
|
10.2.2, 10.3.1: 'reg' keyword in tf port declarations
|
453 | open
|
enhancement
|
2001b
|
sharp@cadence.com
|
Aug 28 2003
|
add `undefineall functionality
|
454 | lrmdraft
|
errata-simple
|
2001b: 2.5.1
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Aug 29 2003
|
2.5.1: 2'sb0000000_10 == 2'sb10 ?
|
455 | open
|
enhancement
|
2005
|
atsushi@jedatechnologies.com
|
Aug 30 2003
|
Jeda Verification Enhancements
|
456 | open
|
errata-discuss
|
2001b: index
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 31 2003
|
check index
|
457 | open
|
enhancement
|
2001b: Index
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 31 2003
|
extend index to complete 1364-2001
|
458 | open
|
enhancement
|
2001b: Index
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 31 2003
|
extend index to cover 1364-2005 enhancements
|
459 | open
|
errata-discuss
|
2001b: 9.2
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Aug 31 2003
|
9.2: assignment variable_lvalue evaluation order question
|
460 | open
|
errata-simple
|
2001b: 9.6, 9.7.7
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Sep 02 2003
|
9.6, 9.7.7: neg/x/z repeat count
|
461 | closed
|
mistaken
|
|
"Clifford E. Cummings" <cliffc@sunburst-design.com>
|
Sep 03 2003
|
Proposal: Eliminate IEEE Enhancements Submission Deadline
|
462 | lrmdraft
|
errata-simple
|
2001b: Syntax 13-2 & 13-3, A.1.1
|
Shalom.Bresticker@motorola.com
|
Sep 04 2003
|
A.1.1: " < file_path_spec > " should be "file_path_spec"
|
463 | open
|
errata-discuss
|
2001b: 4.1.10,4.1.13
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Sep 04 2003
|
4.1.13: Zero fill in ?: even if signed or x/z
|
464 | lrmdraft
|
errata-simple
|
2001b: 14.2.4.2 (Example 1)
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Sep 05 2003
|
14.2.4.2: syntax error in Example 1
|
465 | etfpassed
|
errata-simple
|
2001b: 3.2.1, 3.2.2, 3.6
|
Shalom.Bresticker@motorola.com
|
Sep 07 2003
|
3.2, 3.6: net and variable initialization descriptions
|
466 | open
|
enhancement
|
2005
|
Fintronic USA, Inc.
|
Sep 09 2003
|
Separate Compilation
|
467 | closed
|
errata-simple
|
2001b: 12.3
|
sharp@cadence.com
|
Sep 12 2003
|
12.3: assignments on port declarations
|
468 | open
|
errata-simple
|
2001b: 4.2.1
|
Krishna Garlapati <krishna@synplicity.com>
|
Sep 14 2003
|
4.2.1: Vector bit-select and part-select addressing
|
469 | open
|
errata-discuss
|
2001b: 19.6
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Sep 14 2003
|
19.6: can `resetall occur within a module definition?
|
470 | lrmdraft
|
errata-simple
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Sep 14 2003
|
19.2: Compiler directives and source file boundaries
|
471 | open
|
errata-discuss
|
2001b: 5.6
|
Stephen Williams <steve@icarus.com>
|
Sep 14 2003
|
5.6: Synchronous (Sequential) UDP Scheduling Semantics
|
472 | open
|
errata-simple
|
2001b: 2.5.1
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Sep 16 2003
|
2.5.1: underscore characters legal anywhere in a number?
|
473 | open
|
enhancement
|
2001b
|
Adam Krolnik
|
Sep 16 2003
|
Preprocessor directive for user defined preprocessor
|
474 | open
|
enhancement
|
2001b
|
Adam Krolnik
|
Sep 16 2003
|
First class part selection operator
|
475 | open
|
enhancement
|
2001b
|
Adam Krolnik
|
Sep 16 2003
|
Support a container to define how to interface to a set of signals.
|
476 | open
|
enhancement
|
2001b
|
Adam Krolnik
|
Sep 16 2003
|
Standard reporting mechanism for functional errors/warnings, etc.
|
477 | open
|
enhancement
|
2001b
|
Adam Krolnik
|
Sep 16 2003
|
Provide an assertion statement with the capability to use industry standard property specification.
|
478 | open
|
enhancement
|
2001b
|
Adam Krolnik
|
Sep 16 2003
|
Provide part selection of a structure
|
479 | closed
|
duplicate
|
2001b
|
Krishna Garlapati <krishna@synplicity.com>
|
Sep 16 2003
|
4.2.1 Vector bit-select and part-select addressing
|
480 | closed
|
mistaken
|
2001b
|
Stephen Williams <steve@icarus.com>
|
Sep 16 2003
|
/471 Re: udp scheduling semantics
|
481 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Sep 18 2003
|
define standard preprocessor
|
482 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Sep 18 2003
|
add standard way to define functional coverage points
|
483 | lrmdraft
|
errata-discuss
|
2001b: 4.2
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Sep 18 2003
|
4.2: Bit/part-selects of parameters
|
484 | lrmdraft
|
errata-discuss
|
2001b: 10.3.4e
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Sep 20 2003
|
10.3.4.e: assignment of function result value
|
485 | open
|
errata-discuss
|
2001b
|
Stephen Williams <steve@icarus.com>
|
Sep 20 2003
|
Multi-line string literals?
|
486 | etfpassed
|
errata-simple
|
2001b: 12.3.3
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Sep 22 2003
|
12.3.3: Implicit nets are not necessarily unsigned
|
487 | open
|
errata-simple
|
2001b: 3.11.1, 12.2
|
sharp@cadence.com
|
Sep 23 2003
|
Unclear parameter type conversion rules (3.11.1 and 12.2)
|
488 | closed
|
duplicate
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 02 2003
|
6.1.1, 12.3.3: output wire [3:0] o = 4'b1010 ;
|
489 | open
|
errata-simple
|
2001b
|
sharp@cadence.com
|
Oct 03 2003
|
parameters with signed but no range (3.11.1 and 12.2)
|
492 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Oct 11 2003
|
add lists of figures, tables, syntaxes
|
493 | lrmdraft
|
errata-discuss
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Oct 16 2003
|
Can defparam assignment use parenless mintypmax expression?
|
494 | open
|
errata-discuss
|
2001b: 4.2.2
|
Steven Sharp <sharp@cadence.com>
|
Oct 17 2003
|
4.2.2: out-of-range or x/z index to array of reals
|
495 | open
|
errata-ptf
|
|
Charles Dawson
|
Oct 23 2003
|
Unclear memory allocation for acc_set_value() and vpi_put_value()
|
496 | open
|
enhancement-ptf
|
2001b
|
Adam Krolnik
|
Oct 28 2003
|
Want safe VPI methods to call.
|
497 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Oct 28 2003
|
add glossary section
|
498 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Nov 03 2003
|
system function/task to extract timescale info to variables
|
499 | open
|
errata-discuss
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Nov 04 2003
|
3.2.2, 4.1.6: parameter signedness
|
500 | open
|
errata-discuss
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Nov 04 2003
|
hierarchical block references
|
501 | open
|
errata-discuss
|
2001b
|
Shalom.Bresticker@motorola.com
|
Nov 05 2003
|
library file_path syntax
|
502 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Nov 05 2003
|
Dynamic Values on attributes
|
503 | open
|
errata-discuss
|
2001b
|
Steven Sharp <sharp@cadence.com>
|
Nov 06 2003
|
Term "variable" misused in section 18
|
504 | lrmdraft
|
errata-simple
|
2001b: A.2.2.1
|
Shalom Bresticker
|
Nov 10 2003
|
A.2.2.1: simplify BNF of real_type, variable_type
|
505 | lrmdraft
|
errata-simple
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Nov 12 2003
|
10.2.1 -- declaration of portless tasks
|
506 | open
|
errata-discuss
|
2001b
|
Steven Sharp <sharp@cadence.com>
|
Nov 13 2003
|
4.5.1 Missing cases in signedness rules
|
507 | closed
|
mistaken
|
2001b
|
Shalom.Bresticker@motorola.com
|
Nov 16 2003
|
Re: another sign-extension issue
|
508 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Nov 17 2003
|
add arrays of `defines
|
509 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Nov 17 2003
|
add arrays of parameters
|
510 | open
|
errata-discuss
|
2001b: 12.3
|
Shalom.Bresticker@motorola.com
|
Dec 01 2003
|
12.3: Is "module m(.p(p));" legal?
|
511 | lrmdraft
|
errata-simple
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Dec 02 2003
|
9.8.4 typo: "procedural blocks" should be "parallel blocks"
|
512 | lrmdraft
|
errata-ptf
|
|
Charles Dawson
|
Dec 02 2003
|
27.33 index field for s_cb_data struct won't work for MDAs
|
513 | lrmdraft
|
errata-ptf
|
|
chas@cadence.com (Behavioral Task Force)
|
Dec 02 2003
|
26.6.2 vpiParamAssign to an expr is wrong
|
514 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Dec 04 2003
|
config file should support module and primitive arrays
|
515 | lrmdraft
|
errata-simple
|
2001b: 1.3b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Dec 09 2003
|
1.3b: keyword size is not larger
|
516 | lrmdraft
|
errata-ptf
|
|
Charles Dawson
|
Dec 09 2003
|
27.13 and 27.31 doesn't talk about what happens with a save/restart/reset
|
517 | open
|
errata-ptf
|
|
Charles Dawson
|
Dec 12 2003
|
27.14: No discussion on what to return if there is no value for an object
|
518 | lrmdraft
|
errata-ptf
|
|
Debi Dalio
|
Dec 15 2003
|
26.6.40 no discussion of how vpi_get_time() and vpiTimeQueue works
|
519 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Dec 18 2003
|
system function to get signal strength
|
520 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Dec 25 2003
|
3.3.2: deprecate "scalared" and "vectored" keywords
|
521 | open
|
errata-discuss
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Dec 28 2003
|
section 7: connection of vector to gate terminal
|
522 | lrmdraft
|
errata-discuss
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jan 05 2004
|
A.2.2.3: delay2 and delay3 should be constant expressions
|
523 | closed
|
duplicate
|
|
Charles Dawson
|
Jan 05 2004
|
Memory for callback routine's structures should be allocated by simulator
|
524 | proposal
|
errata-ptf
|
|
chas@cadence.com (Behavioral Task Force)
|
Jan 05 2004
|
27.7 vpi_get_cb_info() - who owns the data structure memory?
|
525 | lrmdraft
|
errata-ptf
|
|
Charles Dawson
|
Jan 05 2004
|
Memory for callback routine's structures should be allocated by simulator
|
526 | lrmdraft
|
errata-discuss
|
2001b: 7.1.2, 7.8
|
Shalom.Bresticker@motorola.com
|
Jan 06 2004
|
7.8: "conflicting strength is ignored" ??
|
527 | lrmdraft
|
errata-discuss
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Jan 07 2004
|
Replication operator on concats involving function calls
|
528 | open
|
enhancement
|
|
Steven Sharp
|
Jan 19 2004
|
SDF should support $timeskew and $fullskew
|
529 | open
|
enhancement
|
|
Shalom.Bresticker@motorola.com
|
Jan 20 2004
|
Add "bidirectional skew" timing check
|
530 | ptfpassed
|
errata-ptf
|
2001c: 26.6.17
|
chas@cadence.com (Behavioral Task Force)
|
Jan 23 2004
|
26.6.17: expr class does not contain vpiTchkTerm - should model different
|
531 | closed
|
TBD
|
2001b
|
|
Jan 26 2004
|
worm sent in the name of etf-bugs
|
532 | open
|
enhancement
|
2001b: 18
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jan 27 2004
|
new, binary dump format in addition to vcd
|
533 | open
|
errata-ptf
|
2001b: 20-27
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Jan 28 2004
|
Some PLI NOTES should be normative
|
534 | open
|
errata-ptf
|
|
Charles Dawson
|
Jan 30 2004
|
27.14: Last sentence on page 676 - should be for vpi_register_cb()
|
535 | lrmdraft
|
errata-simple
|
|
sharp@cadence.com (Behavioral Task Force)
|
Feb 05 2004
|
errors in $width, 15.3.4 and 15.5
|
536 | lrmdraft
|
errata-simple
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Feb 07 2004
|
17.1.1.4: does not deal with mixed x and z bits
|
537 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Feb 08 2004
|
allow unsized numbers and integer variables in concatenations
|
538 | closed
|
enhancement
|
|
Kausik Datta
|
Feb 09 2004
|
Syntax not in BNF is supported by most of the standard simulators
|
539 | open
|
errata-discuss
|
2001c: 15.3.6, 16.2.2
|
Shalom.Bresticker@motorola.com
|
Feb 10 2004
|
15.3.6, 16.2.2: $nochange
|
540 | open
|
errata-simple
|
|
sharp@cadence.com (Behavioral Task Force)
|
Feb 11 2004
|
Misuse of term reg in 17.2.4.4
|
541 | lrmdraft
|
errata-simple
|
2001c: 18.2.38
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Feb 12 2004
|
18.2.38, Syntax 18-16: var_type should include "realtime"
|
542 | proposal
|
enhancement
|
|
Kausik Datta
|
Feb 12 2004
|
non_zero_unsigned_number and non_zero_decimal_digit is not supported by industry standard tools
|
543 | open
|
errata-discuss
|
2001b: 1.3f et al
|
Shalom.Bresticker@motorola.com
|
Feb 13 2004
|
1.3f: italicized BNF names
|
544 | lrmdraft
|
errata-simple
|
2001b: 15.5
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Feb 16 2004
|
15.5, Table 61: does notifier toggle from X to 0 or to 1?
|
545 | open
|
enhancement
|
2001c: 4.2.1, 4.2.2
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Feb 16 2004
|
4.2.1, 4.2.2: out of bounds addressing
|
546 | lrmdraft
|
errata-simple
|
2001c: Syntaxes 15-1 to 15-14, 15.5 Example 1, A.7.5.1-2
|
Shalom Bresticker
|
Feb 17 2004
|
15, A.7.5: change "notify_reg" to "notifier"
|
547 | lrmdraft
|
enhancement
|
2001c: 4.1.14
|
Shalom.Bresticker@motorola.com
|
Feb 19 2004
|
define size zero replication constant
|
548 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Feb 24 2004
|
support SDF RETAIN?
|
549 | open
|
errata-simple
|
|
Steven Sharp
|
Feb 24 2004
|
17.1.1.7 leading zeros in string format
|
550 | open
|
errata-ptf
|
|
Charles Dawson
|
Feb 25 2004
|
27.10 static buffer should be implementation specific
|
551 | lrmdraft
|
errata-simple
|
2001c: 3.1
|
Shalom.Bresticker@motorola.com
|
Feb 26 2004
|
3.1: reals don't have x/z
|
552 | lrmdraft
|
errata-simple
|
2001b
|
Shalom.Bresticker@motorola.com
|
Feb 26 2004
|
3.2.1: "shall" should be "can"
|
553 | lrmdraft
|
errata-discuss
|
2001c: 1.2
|
Shalom.Bresticker@motorola.com
|
Feb 26 2004
|
1.2: "can" vs. "may"
|
554 | lrmdraft
|
errata-discuss
|
2001b: 10.3.1, A.2.6
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Mar 03 2004
|
A.2.6: function_declaration BNF bug for return type declarations
|
555 | open
|
errata-discuss
|
2001b: 18.4.3.2
|
Shalom.Bresticker@motorola.com
|
Mar 08 2004
|
18.4.3.2: incomplete sentence
|
556 | open
|
errata-discuss
|
2001b
|
Shalom.Bresticker@motorola.com
|
Mar 08 2004
|
"procedural continuous assignments"
|
557 | lrmdraft
|
errata-discuss
|
2001b: 9.3.2
|
Shalom.Bresticker@motorola.com
|
Mar 08 2004
|
9.3.2, para. 2: net "continuous assignment"
|
558 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Mar 11 2004
|
allow multidimensional arrays of modules
|
559 | open
|
errata-discuss
|
2001b
|
Shalom.Bresticker@motorola.com
|
Mar 11 2004
|
5.3, 17.1.2, 17.1.3: $strobe, $monitor
|
560 | open
|
errata-discuss
|
2001b: 17.1.3
|
Shalom.Bresticker@motorola.com
|
Mar 12 2004
|
17.1.3: $monitor sensitivity
|
561 | closed
|
mistaken
|
2001b
|
spam
|
Mar 15 2004
|
spam
|
562 | proposal
|
errata-ptf
|
|
Charles Dawson
|
Mar 15 2004
|
Need to have a vpiTimeConst in the vpi_user.h file
|
563 | lrmdraft
|
errata-simple
|
2001c: 9.3.2
|
Shalom.Bresticker@motorola.com
|
Mar 16 2004
|
9.3.2, Example: minor corrections
|
564 | lrmdraft
|
errata-simple
|
2001c: 15.3.6, 15.5
|
Shalom.Bresticker@motorola.com
|
Mar 16 2004
|
15.3.6, 15.5: timing checks are not system tasks
|
565 | open
|
enhancement
|
2005
|
Shalom.Bresticker@motorola.com
|
Mar 18 2004
|
find way to embed PSL
|
566 | open
|
errata-discuss
|
2001c: 9.5
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Mar 19 2004
|
9.5: case item expression ambiguity
|
567 | closed
|
mistaken
|
|
Francoise Martinolle <fm@cadence.com>
|
Mar 23 2004
|
mistake
|
568 | closed
|
mistaken
|
|
Francoise Martinolle <fm@cadence.com>
|
Mar 23 2004
|
errat/398: 2nd try for proposal of vpi_handle_multi_index
|
569 | open
|
errata-simple
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Mar 30 2004
|
17.2.3: $swrite is not a file-based i/o task
|
570 | open
|
errata-discuss
|
|
sharp@cadence.com (Behavioral Task Force)
|
Apr 09 2004
|
12.5 "Upwards name referencing" description has problems
|
571 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@motorola.com>
|
Apr 14 2004
|
review explicit restrictions in LRM
|
572 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Apr 27 2004
|
multidimensional instance arrays
|
573 | open
|
enhancement
|
2001b
|
Shalom.Bresticker@motorola.com
|
Apr 27 2004
|
loops within concatenations?
|
574 | closed
|
duplicate
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Apr 28 2004
|
Comment delimiters in library file definitions
|
575 | lrmdraft
|
errata-simple
|
2001b
|
Shalom.Bresticker@motorola.com
|
Apr 29 2004
|
17.6: misplaced phrase
|
576 | open
|
enhancement-ptf
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Apr 29 2004
|
add tables of object, method and property references in 26.6
|
577 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Apr 29 2004
|
tables of BNF non-terminal references
|
578 | closed
|
mistaken
|
2001b
|
"Steven J. Dovich" <dovich@cadence.com>
|
May 03 2004
|
-ptf/286:
|
579 | open
|
enhancement-ptf
|
2001b: 26.6
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
May 04 2004
|
26.6: return subsections to table of contents
|
580 | open
|
enhancement
|
|
sharp@cadence.com (Behavioral Task Force)
|
May 05 2004
|
Add some system functions for use in constant expressions
|
581 | open
|
errata-simple
|
|
sharp@cadence.com (Behavioral Task Force)
|
May 10 2004
|
17.2.4.1 doesn't say if result is signed
|
582 | open
|
errata-discuss
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
May 10 2004
|
A.1.2, config BNF doesn't match examples in Section 13
|
583 | open
|
errata-discuss
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
May 11 2004
|
comment_text allows \n and */
|
584 | open
|
errata-discuss
|
|
sharp@cadence.com (Behavioral Task Force)
|
May 25 2004
|
17.2.4.3 Should %5c be allowed with $fscanf?
|
585 | open
|
enhancement
|
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Jun 03 2004
|
parameterized task/function extensions
|
586 | lrmdraft
|
errata-discuss
|
|
sharp@cadence.com (Behavioral Task Force)
|
Jun 09 2004
|
signedness of case expression size extensions (9.5)
|
587 | closed
|
mistaken
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Jun 14 2004
|
|
588 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Jun 14 2004
|
Add ranges to case_item expressions
|
589 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Jun 14 2004
|
x-pessimism for if statements
|
590 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Jun 14 2004
|
vector version of ?: operator
|
591 | ptfpassed
|
errata-ptf
|
|
Francoise Martinolle
|
Jun 28 2004
|
two or more tag names on the same arrow in diagrams 26.6.15 and 26.6.16
|
592 | closed
|
mistaken
|
2001b
|
"Jim Vellenga" <vellenga@cadence.com>
|
Jun 29 2004
|
The diagram for tchks
|
593 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Jul 19 2004
|
Continuous assignment case expressions
|
594 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Jul 19 2004
|
Allowing parameters to define the length of a constant
|
595 | open
|
enhancement
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Jul 19 2004
|
Ability to initialize variables to 0, 1, or random instead
|
596 | open
|
errata-discuss
|
|
Eric Mahurin
|
Jul 19 2004
|
ambiguous operands in expressions (A.8.3)
|
597 | open
|
errata-discuss
|
|
Eric Mahurin
|
Jul 19 2004
|
whitespace/comments within compiler directive
|
598 | open
|
errata-discuss
|
|
Eric Mahurin
|
Jul 19 2004
|
"$" ambiguity in PATHPULSE$
|
599 | open
|
errata-discuss
|
|
Eric Mahurin
|
Jul 19 2004
|
intermediate variables with @*
|
600 | open
|
errata-discuss
|
|
Eric Mahurin
|
Jul 20 2004
|
directives within directives
|
601 | analyzed
|
errata-discuss
|
|
Eric Mahurin
|
Jul 23 2004
|
macro formal argument usage
|
602 | lrmdraft
|
errata-simple
|
|
Eric Mahurin
|
Jul 24 2004
|
A.2.4: Extraneous semicolons in pulse_control_specparam
|
603 | lrmdraft
|
errata-simple
|
2001b
|
Karen Pieper <Karen.Pieper@synopsys.com>
|
Jul 26 2004
|
Revised generate proposal from 113
|
604 | lrmdraft
|
errata-discuss
|
|
sharp@cadence.com (Behavioral Task Force)
|
Jul 26 2004
|
12.8: defparam ambiguity with generates
|
605 | ptfpassed
|
errata-ptf
|
|
Francoise Martinolle
|
Jul 26 2004
|
describe better which condifion trigger action callbacks
|
606 | open
|
errata-ptf
|
|
Francoise Martinolle
|
Jul 26 2004
|
vpiReset is normative but $reset is information (same issue with $save)
|
607 | closed
|
duplicate
|
|
Eric Mahurin
|
Jul 29 2004
|
function returning signed integer/real/time/realtime
|
608 | open
|
errata-simple
|
|
Eric Mahurin
|
Jul 29 2004
|
mintypmax_expression usage
|
609 | lrmdraft
|
errata-simple
|
|
Eric Mahurin
|
Jul 30 2004
|
A.8.3-4:string should be a primary not an expression
|
610 | open
|
errata-simple
|
|
Eric Mahurin
|
Jul 30 2004
|
operand/operator relationship is ambiguous for event_expressions
|
611 | lrmdraft
|
errata-simple
|
2001c: 14.6.4.2
|
sharp@cadence.com (Behavioral Task Force)
|
Jul 30 2004
|
14.6.4.2: Errors in last example
|
612 | open
|
errata-simple
|
|
Eric Mahurin
|
Jul 30 2004
|
redundancies in the BNF
|
613 | etfpassed
|
errata-simple
|
|
sharp@cadence.com (Behavioral Task Force)
|
Aug 02 2004
|
Incorrect specification of $removal (15.2.4)
|
614 | closed
|
mistaken
|
2001b
|
Francoise Martinolle <fm@cadence.com>
|
Aug 04 2004
|
Fwd: RE: P1800 SystemVerilog WG F2F Meeting Agenda for August
|
615 | closed
|
mistaken
|
2001b
|
Michael McNamara <mac@verisity.com>
|
Aug 04 2004
|
RE: Fwd: RE: P1800 SystemVerilog WG F2F Meeting Agenda for August
|
616 | closed
|
mistaken
|
2001b
|
Alec Stanculescu <alec@fintronic.com>
|
Aug 04 2004
|
Re: Fwd: RE: P1800 SystemVerilog WG F2F Meeting Agenda for August
|
617 | lrmdraft
|
errata-simple
|
2001b
|
Shalom.Bresticker@freescale.com
|
Aug 11 2004
|
word "parameter" should be "argument"
|
618 | open
|
errata-discuss
|
2001b
|
Shalom.Bresticker@freescale.com
|
Aug 12 2004
|
15.3.2: $timeskew Case 3 and Case 4 are the same
|
619 | etfpassed
|
errata-discuss
|
|
Francoise Martinolle
|
Aug 12 2004
|
What is the width of a range with z and x's in the bounds?
|
620 | lrmdraft
|
errata-simple
|
2005: 17.2.8
|
Shalom.Bresticker@freescale.com
|
Sep 13 2004
|
17.2.8: $readmem clarifications
|
621 | open
|
errata-discuss
|
2001b
|
"Brad Pierce" <Brad.Pierce@synopsys.com>
|
Sep 14 2004
|
19.3.1, one-line comments in macro arguments
|
622 | ptfpassed
|
errata-ptf
|
|
James H. Vellenga
|
Sep 16 2004
|
Return value for left/right range of unsize parameter
|
623 | ptfpassed
|
errata-ptf
|
|
Charles A. Dawson
|
Sep 20 2004
|
26.6.15 should not be modeled with multiple labels on one transition
|
624 | open
|
errata-discuss
|
2001b, IEEE P1364-2005/D3, 5/6/04
|
Yong Xiao <yongx@tharas.com>
|
Sep 22 2004
|
Need clarification and resolution for extension rules
|
625 | closed
|
errata-simple
|
2001b
|
Yong Xiao <yongx@tharas.com>
|
Sep 22 2004
|
arithmetic shifts
|
626 | open
|
errata-discuss
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Sep 26 2004
|
"time step" is not defined
|
627 | lrmdraft
|
errata-simple
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Sep 26 2004
|
5.4.1(2): "time step 1" should be "0" ?
|
628 | lrmdraft
|
errata-simple
|
2001c: 13.2, A.1.1
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Oct 27 2004
|
13.2, A.1.1: file_path_spec vs. file_path (175B)
|
629 | lrmdraft
|
errata-simple
|
2005: 12.4.2
|
Shalom.Bresticker@freescale.com
|
Oct 28 2004
|
12.4.2: generate clarification on naming of nested constructs
|
630 | lrmdraft
|
errata-simple
|
2001c: 17.2.1
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Oct 31 2004
|
17.2.1: missing closure of parenthetical comment
|
631 | open
|
errata-simple
|
2001b
|
Bineet SRIVASTAVA <bineet.srivastava@st.com>
|
Nov 08 2004
|
Issue: Genvar Clarification
|
632 | lrmdraft
|
errata-simple
|
2001c: 17.2.1
|
Shalom.Bresticker@freescale.com
|
Nov 09 2004
|
2001c: 17.2.1: MSB is 31, not 32
|
633 | lrmdraft
|
errata-simple
|
2001c: 10.2.2
|
Shalom.Bresticker@freescale.com (Behavioral Task Force)
|
Nov 15 2004
|
10.2.2: "concatenation of real" is illegal
|
634 | proposal
|
errata-simple
|
2001c: 3.11.1
|
Shalom.Bresticker@freescale.com (Behavioral Task Force)
|
Nov 16 2004
|
3.11.1: When is a parameter not a parameter?
|
635 | lrmdraft
|
errata-simple
|
2005_D3
|
"Warmke, Doug" <doug_warmke@mentorg.com>
|
Nov 18 2004
|
2005D4/12.5: overly restrictive hierref limitation
|
636 | lrmdraft
|
errata-simple
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Nov 24 2004
|
4.2.3.2 et al: null character is "NUL", not "NULL"
|
637 | lrmdraft
|
errata-simple
|
2001c: 12.7
|
Shalom.Bresticker@freescale.com
|
Nov 28 2004
|
12.7: missing words
|
638 | open
|
errata-simple
|
|
Steven Sharp <sharp@cadence.com>
|
Nov 28 2004
|
erratum/282: PROPOSAL - 4.5 sign and size rules
|
639 | vsgpassed
|
errata-simple
|
2001c
|
Shalom.Bresticker@freescale.com
|
Nov 29 2004
|
fix use of "shall" and "may" by IEEE rules
|
640 | lrmdraft
|
enhancement
|
|
sharp
|
Nov 29 2004
|
Add 'uwire' net type to enforce single-driver restriction
|
641 | proposal
|
duplicate
|
2001b
|
Bineet SRIVASTAVA <bineet.srivastava@st.com>
|
Nov 30 2004
|
Issue::IEEE P1364-2005/D2, 5/26/03: ambiguity in port declaration rule
|
642 | open
|
errata-simple
|
2001b
|
Shalom.Bresticker@freescale.com
|
Dec 01 2004
|
$swrite should overwrite entire string
|
643 | lrmdraft
|
errata-simple
|
2001b
|
Shalom.Bresticker@freescale.com
|
Dec 06 2004
|
17.2.2: not a logical OR
|
644 | open
|
errata-discuss
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Dec 23 2004
|
A.8.2: attributes on system function calls
|
645 | etfpassed
|
errata-simple
|
2001b
|
Shalom.Bresticker@freescale.com
|
Dec 23 2004
|
7.1.2: pulldown strength specification ambiguity
|
646 | etfpassed
|
errata-simple
|
2001b
|
Shalom.Bresticker@freescale.com
|
Dec 27 2004
|
"initial" and "always" "constructs"
|
647 | lrmdraft
|
errata-simple
|
|
Karen Pieper
|
Jan 25 2005
|
Built-in functions use in constant expressions
|
648 | lrmdraft
|
errata-simple
|
|
pieper@synopsys.com
|
Jan 25 2005
|
Addition of Clause 2 is required
|
649 | open
|
errata-simple
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Feb 06 2005
|
use of "unknown"
|
650 | open
|
errata-simple
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Feb 15 2005
|
9.7.6 does not explicitly say what happens if "wait" condition is
|
651 | proposal
|
errata-simple
|
2001b: 14.2.4.2
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Feb 15 2005
|
14.2.4.2, Example 2: last => should be *>
|
652 | open
|
errata-simple
|
2001b
|
Shalom.Bresticker@freescale.com
|
Feb 16 2005
|
14.2.4.3, Example 2: path conditions not unique?
|
653 | proposal
|
errata-simple
|
2005D6: A.7.2, A.7.4
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Mar 03 2005
|
2005D6, A.7.4: edge_sensitive_path_declaration should be in A.7.2
|
654 | open
|
errata-discuss
|
2005: 14.2.3
|
Shalom.Bresticker@freescale.com
|
Mar 03 2005
|
14.2.3: specify block edge-sensitive path description with
|
655 | open
|
errata-simple
|
2005D6, 7.1.6
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Mar 03 2005
|
2005D6, 7.1.6: ambiguities in instance array port connection
|
656 | open
|
errata-simple
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Mar 03 2005
|
"port" vs. "terminal"
|
657 | open
|
errata-discuss
|
2001b
|
Shalom Bresticker <Shalom.Bresticker@freescale.com>
|
Mar 12 2005
|
14.5 Driving wired logic: error in Fig 14-6?
|
658 | open
|
duplicate
|
duplicate of 657
|
Shalom.Bresticker@freescale.com
|
Mar 13 2005
|
14.5 Driving wired logic: error in Fig 14-6?
|
659 | proposal
|
errata-discuss
|
2005/D6: 15.3.3
|
Shalom.Bresticker@freescale.com
|
Apr 11 2005
|
2005/D6, 15.3.3: $fullskew bugs
|
660 | open
|
errata-simple
|
2001b
|
Shalom.Bresticker@freescale.com
|
Apr 14 2005
|
Clause 7 does not clearly specify output of primitives with
|
661 | open
|
errata-simple
|
2001b
|
Shalom.Bresticker@freescale.com
|
Apr 14 2005
|
5.1.10,11: minor editorial
|
662 | open
|
errata-simple
|
|
sharp@cadence.com (Behavioral Task Force)
|
Apr 21 2005
|
Incorrect rule in note on Table 4-21 in 4.4.1
|
663 | open
|
errata-simple
|
|
sharp@cadence.com (Behavioral Task Force)
|
Apr 22 2005
|
Incorrect width extension rule in 4.1.10.
|
664 | open
|
errata-simple
|
|
sharp@cadence.com (Behavioral Task Force)
|
Apr 22 2005
|
Missing rule for reduction operators in 4.5.1
|
665 | open
|
mistaken
|
|
"Stewart" <axaqnvadp@motoman.se>
|
Jun 22 2005
|
spam
|
666 | open
|
mistaken
|
2001b
|
"Carroll" <anngcsr@morin.at>
|
Jul 07 2005
|
spam
|
667 | open
|
TBD
|
2001b
|
"Carroll" <anngcsr@morin.at>
|
Jul 07 2005
|
For your benefit
|
668 | open
|
TBD
|
2001b
|
"Manuela" <vanpulwudmz@mosnalog.ru>
|
Jul 10 2005
|
Exclusive benefits
|
669 | open
|
TBD
|
2001b
|
"Cliff" <znosdz@moskit.uwm.edu.pl>
|
Jul 12 2005
|
Dont ignore this notice
|
670 | open
|
TBD
|
2001b
|
"Graciela" <quaqzelgx@mora.se>
|
Jul 13 2005
|
Dont ignore this notice
|
671 | open
|
TBD
|
2001b
|
"Ahmad" <qbjhod@morava.cca.cz>
|
Jul 16 2005
|
Exclusive notice
|
672 | open
|
TBD
|
2001b
|
"Richard" <kjcwpao@morada.com.br>
|
Jul 17 2005
|
Dont ignore this notice
|
673 | open
|
TBD
|
2001b
|
"Richard" <kjcwpao@morada.com.br>
|
Jul 17 2005
|
Dont ignore this notice
|
674 | open
|
TBD
|
2001b
|
"Rolando" <pifuphsupo@moselpunk.de>
|
Aug 11 2005
|
For your benefit
|
675 | open
|
TBD
|
2001b
|
"Brenda" <tvdsogs@moto.design.co.jp>
|
Aug 12 2005
|
Attention
|
676 | open
|
TBD
|
2001b
|
"Terrence" <oqxbnbmu@motoman.se>
|
Aug 21 2005
|
Dont ignore this notice
|
677 | closed
|
errata-simple
|
|
"Returned mail" <MAILER-DAEMON@boyd.com>
|
Aug 22 2005
|
report
|
678 | closed
|
errata-simple
|
|
"Automatic Email Delivery Software" <postmaster@boyd.com>
|
Aug 22 2005
|
status
|
679 | closed
|
errata-simple
|
|
"Post Office" <postmaster@boyd.com>
|
Aug 23 2005
|
test
|
680 | open
|
TBD
|
2001b
|
"Katelyn" <fyvqcn@mosart.com.tw>
|
Aug 23 2005
|
Dont ignore this notice
|
681 | open
|
TBD
|
2001b
|
"Levi" <tillnhkyg@moskit.uwm.edu.pl>
|
Aug 24 2005
|
Attention
|
682 | open
|
TBD
|
2001b
|
Alec <>
|
Sep 30 2005
|
Re:
|
683 | open
|
TBD
|
2001b
|
Marshall <>
|
Sep 30 2005
|
Re:
|
Hosted by Boyd Technology