ISSUE 500

Add Proposal  Add Analysis  Edit Class, Environment, or Release
Number 500
Category errata
Synopsis hierarchical block references
State open
Class errata-discuss
Arrival-DateNov 04 2003
Originator Shalom Bresticker <Shalom.Bresticker@motorola.com>
Release 2001b
Description
When reviewing issue #209, we noted that hierarchical_identifier ends with an
identifier name, without an optional index.
If the hierarchical_identifier is used to refer to one of an array of modules or
primitives or named generate blocks,
then it will be necessary to add a "[ constant_expression ] " to the
hierarchical_identifier.

This issue comes to try to identify such places.

The only real place I found in the current LRM where that is necessary is in
Syntax 17-9 ($printtimescale).

However, the construct hierarchical_name is used in C.5 ($list), C.9 ($scale),
and C.10 ($scope).
The syntax of hierarchical_name is undefined.

We had mentioned $dumpvars.
That actually uses "module_identifier" (Syntax 18-3), as does Syntax 12-8
(upward_name_reference) and Syntax 18-21 ($dumpports) and the following text. We
might want to modify those.

By the way, if we are going to leave $scale, we need to explain it better.
The description here is incomplete, and does not give enough information to
understand how to use it.

--
Shalom Bresticker Shalom.Bresticker@motorola.com
Design & Reuse Methodology Tel: +972 9 9522268
Motorola Semiconductor Israel, Ltd. Fax: +972 9 9522890
POB 2208, Herzlia 46120, ISRAEL Cell: +972 50 441478



Fix

Unknown
Unformatted



Hosted by Boyd Technology